基本信息
许高博  男  硕导  中国科学院微电子研究所
电子邮件: xugaobo@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码: 100029

研究领域

新型半导体器件

集成电路先导工艺

氧化铪基铁电材料与器件

薄膜晶体管与三维集成

先进半导体探测器

招生信息

   
招生专业
080903-微电子学与固体电子学
085400-电子信息
招生方向
集成电路工程
集成电路先导工艺技术

教育背景

2016-11--2017-12   德克萨斯大学奥斯汀分校   访问学者
2003-09--2009-07   中国科学院微电子研究所   工学博士
1998-09--2002-07   山东大学   工学学士

工作经历

   
工作简历
2021-07~现在, 中国科学院微电子研究所, 研究员
2011-09~2021-07,中国科学院微电子研究所, 副研究员
2009-07~2011-09,中国科学院微电子研究所, 助理研究员

专利与奖励

   
奖励信息
(1) 中科院微电子所研究生喜爱的导师, 研究所(学校), 2021
(2) 中科院微电子所先导中心科研之星, 其他, 2020
(3) 中科院微电子所先导中心科研之星, 其他, 2019
(4) 中科院微电子所先导中心科研之星, 其他, 2018
(5) 中国科学院微电子研究所优秀共产党员, 研究所(学校), 2016
(6) 中国科学院微电子研究所先进工作者, , 研究所(学校), 2014
(7) 中国科学院微电子器件与集成技术重点实验室优秀员工, 研究所(学校), 2012
专利成果
( 1 ) 一种硅像素探测器及其制备方法, 发明专利, 2022, 第 3 作者, 专利号: CN114156292A

( 2 ) 垂直纳米线晶体管与其制作方法, 专利授权, 2022, 第 4 作者, 专利号: CN108428634B

( 3 ) 一种半导体器件及制备方法, 发明专利, 2021, 第 1 作者, 专利号: CN113793806A

( 4 ) 一种铁电场效应管及其制备方法以及铁电存算器件, 发明专利, 2021, 第 3 作者, 专利号: CN113782607A

( 5 ) 冷源MOS晶体管及制作方法, 发明专利, 2021, 第 3 作者, 专利号: CN113745314A

( 6 ) 硅基探测器的制造方法及用于其的热处理装置, 发明专利, 2021, 第 2 作者, 专利号: CN113675296A

( 7 ) 半导体器件的制造方法, 发明专利, 2021, 第 2 作者, 专利号: CN107293492B

( 8 ) 一种氧化物半导体器件及其制备方法, 发明专利, 2021, 第 2 作者, 专利号: CN113471295A

( 9 ) 硅基探测器及其制作方法, 发明专利, 2021, 第 1 作者, 专利号: CN113299785A

( 10 ) 一种半导体器件及制备方法, 专利授权, 2021, 第 1 作者, 专利号: CN113192891A

( 11 ) 一种铁电薄膜及其沉积方法、应用, 发明专利, 2021, 第 2 作者, 专利号: CN113178478A

( 12 ) 一种HfO 2 基铁电薄膜及其沉积方法, 发明专利, 2021, 第 2 作者, 专利号: CN113178477A

( 13 ) 一种存储器及其制造方法, 发明专利, 2021, 第 1 作者, 专利号: CN113013235A

( 14 ) 一种漂移探测器的双面制备方法及漂移探测器, 专利授权, 2021, 第 1 作者, 专利号: CN110854222B

( 15 ) 一种漂移探测器的制备方法及漂移探测器, 专利授权, 2021, 第 1 作者, 专利号: CN110854223B

( 16 ) 一种绝缘体上半导体结构及其抗总剂量辐照加固方法, 发明专利, 2020, 第 2 作者, 专利号: CN112086516A

( 17 ) X射线阵列传感器、探测器及其制作方法, 发明专利, 2020, 第 2 作者, 专利号: CN111799351A

( 18 ) 隧穿场效应晶体管及其制造方法, 专利授权, 2020, 第 1 作者, 专利号: CN106558609B

( 19 ) 隧穿场效应晶体管及其制造方法, 发明专利, 2020, 第 1 作者, 专利号: CN106504989B

( 20 ) 半导体器件与其制作方法, 发明专利, 2018, 第 4 作者, 专利号: CN108493246A

( 21 ) 垂直纳米线晶体管与其制作方法, 发明专利, 2018, 第 4 作者, 专利号: CN108428634A

( 22 ) 量子点器件及其制作方法, 专利授权, 2018, 第 4 作者, 专利号: CN108417635A

( 23 ) 纳米线阵列围栅MOSFET结构及其制作方法, 专利授权, 2018, 第 5 作者, 专利号: CN108364910A

( 24 ) 隧穿场效应晶体管及其制造方法, 专利授权, 2018, 第 1 作者, 专利号: CN108369960A

( 25 ) 一种遂穿场效应晶体管及其制造方法, 发明专利, 2018, 第 2 作者, 专利号: CN108321197A

( 26 ) 隧穿场效应晶体管及其制造方法, 发明专利, 2018, 第 1 作者, 专利号: CN108140673A

( 27 ) N型MOSFET的制作方法, 发明专利, 2018, 第 2 作者, 专利号: CN108039368A

( 28 ) 一种半导体器件的制造方法, 发明专利, 2018, 第 1 作者, 专利号: CN108010966A

( 29 ) 半导体CMOS器件的制作方法, 发明专利, 2018, 第 2 作者, 专利号: CN107910298A

( 30 ) 半导体器件的制造方法, 发明专利, 2018, 第 3 作者, 专利号: CN107845605A

( 31 ) P型MOSFET的制作方法, 发明专利, 2018, 第 2 作者, 专利号: CN107749398A

( 32 ) 半导体器件制造方法, 发明专利, 2017, 第 1 作者, 专利号: CN106558481A

( 33 ) 半导体器件制造方法, 发明专利, 2017, 第 1 作者, 专利号: CN106558481A

( 34 ) 一种半导体器件的制造方法, 发明专利, 2016, 第 1 作者, 专利号: CN103594370B

( 35 ) CMOS器件及其制造方法, 发明专利, 2016, 专利号: CN105428361A

( 36 ) n型半导体器件及其制造方法, 发明专利, 2015, 第 1 作者, 专利号: CN102856377B

( 37 ) 一种半导体器件的制造方法, 发明专利, 2015, 第 1 作者, 专利号: CN104979186A

( 38 ) 一种半导体器件的制造方法, 专利授权, 2015, 第 1 作者, 专利号: CN104752202A

( 39 ) 一种栅堆叠及其制造方法, 发明专利, 2015, 第 1 作者, 专利号: CN104377236A

( 40 ) 半导体器件制造方法, 发明专利, 2014, 第 1 作者, 专利号: CN104078363A

( 41 ) 等平面场氧化隔离结构及其形成方法, 发明专利, 2014, 第 1 作者, 专利号: CN103855072A

( 42 ) 半导体器件的制造方法, 发明专利, 2014, 第 2 作者, 专利号: CN103855016A

( 43 ) 半导体器件的制造方法, 发明专利, 2014, 专利号: CN103854982A

( 44 ) P型MOSFET的制造方法, 发明专利, 2014, 第 2 作者, 专利号: CN103855007A

( 45 ) N型MOSFET的制造方法, 发明专利, 2014, 第 3 作者, 专利号: CN103855013A

( 46 ) N型MOSFET的制造方法, 发明专利, 2014, 第 2 作者, 专利号: CN103855012A

( 47 ) P型MOSFET的制造方法, 发明专利, 2014, 第 4 作者, 专利号: CN103854983A

( 48 ) 半导体器件的制造方法, 发明专利, 2014, 第 3 作者, 专利号: CN103855006A

( 49 ) 形成半导体器件替代栅的方法以及制造半导体器件的方法, 发明专利, 2014, 第 1 作者, 专利号: CN103854980A

( 50 ) 一种提高电子束曝光效率的方法, 发明专利, 2014, 第 2 作者, 专利号: CN102466966B

( 51 ) 超陡倒掺杂沟道的形成方法、半导体器件及其制造方法, 发明专利, 2013, 第 4 作者, 专利号: CN103367128A

( 52 ) CMOS器件及其制造方法, 发明专利, 2013, 第 3 作者, 专利号: CN103325787A

( 53 ) 一种分子尺度界面SiO 2 的形成和控制方法, 发明专利, 2013, 第 2 作者, 专利号: CN103137460A

( 54 ) 一种分子尺度界面SiO 2 的形成和控制方法, 发明专利, 2013, 第 2 作者, 专利号: CN103137460A

( 55 ) 一种半导体结构及其制造方法, 发明专利, 2013, 第 3 作者, 专利号: CN103107091A

( 56 ) 一种互补型金属氧化物半导体场效应晶体管的制备方法, 发明专利, 2013, 第 3 作者, 专利号: CN102915917A

( 57 ) 一种半导体器件的替代栅集成方法, 发明专利, 2013, 第 1 作者, 专利号: CN102856180A

( 58 ) n型半导体器件及其制造方法, 发明专利, 2013, 第 1 作者, 专利号: CN102856377A

( 59 ) 半导体器件的制造方法, 发明专利, 2012, 第 3 作者, 专利号: CN101958278B

( 60 ) 一种高k栅介质界面优化方法, 发明专利, 2012, 第 1 作者, 专利号: CN102810468A

( 61 ) 一种隧穿场效应晶体管及其制造方法, 发明专利, 2012, 第 1 作者, 专利号: CN102751325A

( 62 ) 锗衬底上制备金属-氧化物-半导体场效应晶体管方法, 发明专利, 2012, 第 2 作者, 专利号: CN102737999A

( 63 ) 半导体器件的制造方法, 发明专利, 2012, 第 3 作者, 专利号: CN102543838A

( 64 ) 一种栅极刻蚀的方法, 发明专利, 2012, 第 1 作者, 专利号: CN102456569A

( 65 ) p型半导体器件及其制造方法, 发明专利, 2012, 第 1 作者, 专利号: CN102339858A

( 66 ) p型半导体器件及其制造方法, 发明专利, 2012, 第 1 作者, 专利号: CN102339858A

( 67 ) 一种用于CMOS器件的双金属栅双高介质的集成方法, 发明专利, 2011, 第 2 作者, 专利号: CN102280376A

( 68 ) 一种适用于NMOS器件的金属栅功函数的调节方法, 发明专利, 2011, 第 2 作者, 专利号: CN102254805A

( 69 ) 一种用于PMOS器件的金属栅功函数的调节方法, 发明专利, 2011, 第 2 作者, 专利号: CN102074469A

( 70 ) 半导体器件的制造方法, 发明专利, 2011, 第 3 作者, 专利号: CN102044494A

( 71 ) 一种钼铝氮金属栅的制备方法, 发明专利, 2010, 第 1 作者, 专利号: CN101930915A

( 72 ) 一种双金属栅功函数的调节方法, 发明专利, 2010, 第 2 作者, 专利号: CN101800196A

( 73 ) 一种铪硅铝氧氮高介电常数栅介质的制备方法, 发明专利, 2010, 第 1 作者, 专利号: CN101800178A

( 74 ) 一种钽铝氮金属栅的制备方法, 发明专利, 2010, 第 1 作者, 专利号: CN101800173A

( 75 ) 一种隧穿场效应晶体管及其制造方法, 发明专利, 2010, 第 1 作者, 专利号: CN101777580A

( 76 ) 一种栅介质/金属栅集成结构的制备方法, 发明专利, 2010, 第 2 作者, 专利号: CN101728257A

( 77 ) 一种制备高介电常数栅介质薄膜铪硅氧氮的方法, 发明专利, 2009, 第 1 作者, 专利号: CN101447420A

出版信息

   
发表论文
(1) Low Gain Avalanche Detectors with good time resolution developed by IHEP and IME for ATLAS HGTD project, NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION A-ACCELERATORS SPECTROMETERS DETECTORS AND ASSOCIATED EQUIPMENT, 2022, 第 10 作者
(2) Narrow Sub-Fin Technique for Suppressing Parasitic-Channel Effect in Stacked Nanosheet Transistors, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2022, 第 9 作者
(3) Improved Ferroelectricity and Endurance of Hf0.5Zr0.5O2 Thin Films in Low Thermal Budget with Novel Bottom Electrode Doping Technology, ADVANCED MATERIALS INTERFACES, 2022, 通讯作者
(4) Experimental Investigation of Ultrathin Al2O3 Ex-Situ Interfacial Doping Strategy on Laminated HKMG Stacks via ALD, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 3 作者
(5) A Thermopile Infrared Sensor Array Pixel Monolithically Integrated with an NMOS Switch, MICROMACHINES, 2022, 第 3 作者
(6) Physical Thickness 1.5-nm HfZrO Negative Capacitance NMOSFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 3 作者
(7) Quantum Dot With a Diamond-Shaped Channel MOSFET on a Bulk Si Substrate, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 9 作者
(8) Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices, NANOMATERIALS, 2021, 第 15 作者
(9) Endurance Characteristics of Negative Capacitance FinFETs With Negligible Hysteresis, IEEE ELECTRON DEVICE LETTERS, 2021, 第 4 作者
(10) Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2021, 第 15 作者
(11) Investigation of ferroelectric field-effect transistors using a replacement metal gate process, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2021, 其他(合作组作者)
(12) Influence of Applied Stress on the Ferroelectricity of Thin Zr-Doped HfO2 Films, APPLIED SCIENCES-BASEL, 2021, 第 4 作者
(13) Investigation of time domain characteristics of negative capacitance FinFET by pulse-train approaches, JOURNALOFSEMICONDUCTORS, 2021, 第 5 作者
(14) Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs, NANOMATERIALS, 2021, 其他(合作组作者)
(15) Analysis of Single Event Effects in Capacitor-Less 1T-DRAM Based on an InGaAs Transistor, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 通讯作者
(16) A Sensitivity Controllable Thermopile Infrared Sensor by Monolithic Integration of a N-channel Metal Oxide Semiconductor, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2021, 第 2 作者
(17) Vertical Sandwich GAA FETs With Self-Aligned High-k Metal Gate Made by Quasi Atomic Layer Etching Process, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 
(18) 一种硅漂移探测器的优化设计与特性研究, Optimized Structure Design and Electrical Characteristics Study on a Silicon Drift Detector, 传感技术学报, 2020, 第 4 作者
(19) Simulation of Total Ionizing Dose (TID) Effects Mitigation Technique for 22 nm Fully-Depleted Silicon-on-Insulator (FDSOI) Transistor, IEEE ACCESS, 2020, 通讯作者
(20) 3D NAND中基于SEG高度失效模型的DPPM预测算法, DPPM Prediction Algorithm Based on SEG Height Failure Model in 3D NAND, 电子产品可靠性与环境试验, 2020, 第 3 作者
(21) Hf0.5Zr0.5O2-based ferroelectric bionic electronic synapse device with highly symmetrical and linearity weight modification, ELECTRONICSLETTERS, 2020, 第 3 作者
(22) Investigation on thermal stability of Si0.7Ge0.3/Si stacked multilayer for gate-all-around MOSFETS, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 第11作者
(23) Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 10 作者
(24) Heavy ion induced single-event-transient effects in nanoscale ferroelectric vertical tunneling transistors by TCAD simulation, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 通讯作者
(25) Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors, Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors, SCIENCE CHINA-INFORMATION SCIENCES, 2020, 通讯作者
(26) Fabrication and Characterization of a Novel Si Line Tunneling TFET With High Drive Current, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2020, 通讯作者
(27) Total ionization dose effects of N-type tunnel field effect transistor (TFET) with ultra-shallow pocket junction, APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING, 2020, 通讯作者
(28) Accumulative total ionizing dose (TID) and transient dose rate (TDR) effects on planar and vertical ferroelectric tunneling-field-effect-transistors (TFET), MICROELECTRONICS RELIABILITY, 2020, 通讯作者
(29) FinFET With Improved Subthreshold Swing and Drain Current Using 3-nm Ferroelectric Hf0.5Zr0.5O2, IEEE ELECTRON DEVICE LETTERS, 2019, 第 2 作者
(30) 一种新型隧穿场效应晶体管, A New Type of Tunneling-FET, 半导体技术, 2019, 第 2 作者
(31) A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 8 作者
(32) A High-Performance Source-Pocket Tunnel Field-Effect Transistor, 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC), 2019, 第 1 作者
(33) High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50 nm grown on the three-layer SiGe strain relaxed buffer, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2019, 第 8 作者
(34) Hf0.5Zr0.5O2基铁电电容器的特性研究, Study on Characteristics of Hf0.5Zr0.5O2-Based Ferroelectric Capacitors, 微电子学, 2019, 第 3 作者
(35) Process optimization of the Si0.7Ge0.3 Fin Formation for the STI first scheme, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2019, 第 7 作者
(36) Study of -ray radiation influence on SiO2/HfO2/Al2O3/HfO2/Al2O3 memory capacitor by C-V and DLTS, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 15 作者
(37) Beyond SiOx: an active electronics resurgence and biomimetic reactive oxygen species production and regulation from mitochondria, JOURNAL OF MATERIALS CHEMISTRY C, 2018, 第 5 作者
(38) Study of γ-ray irradiation influence on TiN/HfO2/Si MOS capacitor by C-V and DLTS, SUPERLATTICES AND MICROSTRUCTURES, 2018, 第 10 作者
(39) Study of gamma-ray irradiation influence on TiN/HfO2/Si MOS capacitor by C-V and DLTS, SUPERLATTICES AND MICROSTRUCTURES, 2018, 第 10 作者
(40) Total Ionization Dose Effects on Charge Storage Capability of Al2O3/HfO2/Al2O3-Based Charge Trapping Memory Cell, Total Ionization Dose Effects on Charge Storage Capability of Al2O3/HfO2/Al2O3-Based Charge Trapping Memory Cell, CHINESE PHYSICS LETTERS, 2018, 第 3 作者
(41) Band-Edge Work Function Obtained by Plasma Doping TiN Metal Gate for nMOS Device Application, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 第 3 作者
(42) Internal filament modulation in low-dielectric gap design for built-in selector-less resistive switching memory application, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2018, 第 8 作者
(43) 隧穿场效应晶体管的研究进展, Research Progress of Tunnel Field-Effect Transistors, 微纳电子技术, 2018, 第 2 作者
(44) Total ionizing dose effects and annealing behaviors of HfO_2-based MOS capacitor, Total ionizing dose effects and annealing behaviors of HfO_2-based MOS capacitor, 中国科学:信息科学(英文版), 2017, 第 3 作者
(45) Silicon-Based Ⅲ-Ⅴ Nanowires and Several Semiconductor Devices, Silicon-Based Ⅲ-Ⅴ Nanowires and Several Semiconductor Devices, 半导体技术, 2017, 第 2 作者
(46) Total ionizing dose effects and annealing behaviors of HfO_2-based MOS capacitor, SCIENCE CHINA-INFORMATION SCIENCES, 2017, 第 3 作者
(47) Attainment of dual-band edge work function by using a single metal gate and single high-k dielectric via ion implantation for HP CMOS device, SOLID-STATE ELECTRONICS, 2016, 
(48) FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin, 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2, 2016, 第 18 作者
(49) Ion-Implanted TiN Metal Gate With Dual Band-Edge Work Function and Excellent Reliability for Advanced CMOS Device Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2015, 第 2 作者
(50) Study of Si Green Transistor with an Ultra-shallow Pocket Junction, 2014, 第 1 作者
(51) Investigation of Key Technologies for Poly-Si/TaN/HfLaON/IL SiO2 Gate-Stacks in Advanced Device Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2014, 第 2 作者
(52) A high performance HfSiON/TaN NMOSFET fabricated using a gate-last process, CHINESE PHYSICS B, 2013, 通讯作者
(53) Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology, ATOMIC LAYER DEPOSITION APPLICATIONS 9, 2013, 第 7 作者
(54) Characterization of HfSiAlON/MoAlN PMOSFET Fabricated by Using a Novel Gate-Last Process, CHINESE PHYSCS LETTERS, 2013, 第 1 作者
(55) Characteristics of HfLaON/SiO2 Gate Stack prepared using Reactive Sputtering, ECS TRANSACTIONS, 2013, 第 1 作者
(56) CMP-Less Planarization Technology with SOG/LTO Etchback for Low-Cost High-k/Metal Gate-Last Integration, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2013, 第 4 作者
(57) Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process, Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process, CHINESE PHYSICS LETTERS, 2013, 通讯作者
(58) HfSiON High-k Layer Compatibility Study with TetraMethyl Ammonium Hydroxide (TMAH) Solution, ELECTROCHEMICALANDSOLIDSTATELETTERS, 2012, 
(59) Characteristics of HfSiAlON Gate Dielectric Prepared by Physical Vapor Deposition, ECS TRANSACTIONS, 2011, 第 1 作者
(60) CMP-less Planarization Technology with SOG/LTO Etchback for Low Cost 70nm Gate-Last Process, CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE 2011 (CSTIC 2011), 2011, 第 4 作者
(61) Gallium-Incorporated TiN Metal Gate With Band-Edge Work Function and Excellent Thermal Stability for PMOS Device Applications, IEEE ELECTRON DEVICE LETTERS, 2011, 第 2 作者
(62) Thermal stability of HfTaON films prepared by physical vapor deposition, Thermal stability of HfTaON films prepared by physical vapor deposition, JOURNAL OF SEMICONDUCTORS, 2009, 通讯作者
(63) Characteristics of high-quality HfSiON gate dielectric prepared by physical vapour deposition, CHINESE PHYSICS B, 2009, 通讯作者
(64) Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate, APPLIED PHYSICS LETTERS, 2008, 第 2 作者
(65) 源漏硅化物扩散层分离技术对SOINMOS抗ESD的影响, Influence of silicide on the ESD protection in SOI NMOSFETs, 功能材料与器件学报, 2008, 第 2 作者
(66) 先进的Hf基高k栅介质研究进展, Development of Advanced Hf Based High-k Gate Dielectrics, 电子器件, 2007, 第 1 作者
(67) 采用LOCOS隔离的部分耗尽SOI器件的窄沟道效应, Narrow Channel Effect of PD MOSFETs with LOCOS Isolation, 电子器件, 2007, 第 2 作者

科研活动

   
科研项目

( 1 ) 低功耗隧穿场效应晶体管研究及制备, 主持, 研究所自选, 2013-01--2013-12
( 2 ) 双金属栅CMOS器件的可靠性退化机制及其抑制方法研究, 参与, 国家任务, 2014-01--2016-12
( 3 ) 离子掺杂高k栅介质/金属栅技术研究及理论分析, 主持, 研究所自选, 2015-09--2016-08
( 4 ) 碳基纳米电子器件与集成, 参与, 国家任务, 2016-07--2021-06
( 5 ) 二维小像素探测器, 子课题负责人, 国家任务, 2016-07--2021-06
( 6 ) 高迁移率二维料铟硒及其异质结构的物性、量子输运性质调控和器件应用研究, 参与, 国家任务, 2018-01--2021-12
( 7 ) FINFET器件研究及制备, 主持, 企业合作, 2018-03--2018-11
( 8 ) 超陡亚阈值摆幅低功耗新原理器件, 参与, 地方任务, 2018-08--2021-08 
( 9 ) 新型铁电材料与器件研究及制备, 主持, 企业合作, 2018-12--2019-07
( 10 ) 新型铁电存储器技术, 子课题负责人, 国家任务, 2018-01--2020-12
( 11 ) 像素探测器芯片研制, 主持, 企业合作, 2019-06--2020-05
( 12 ) 超高时间分辨探测器研制, 主持, 企业合作, 2019-11--2021-12
( 13 ) 面向自由电子激光的大阵列硅基探测器关键技术研制, 参与, 中国科学院计划, 2020-01--2022-12
( 14 ) 高密度三维IGZO DRAM存储器PVD, 主持地方任务, 2022-01--2024-12
( 15 ) 超高帧频大动态范围X射线探测系统, 参与, 国家任务, 2022-01--2026-12

( 16 ) 抗辐照硅超快传感器大规模制造关键技术概念验证, 负责人, 地方任务, 2022-12--2023-11