基本信息
王峥  男  博导  中国科学院深圳先进技术研究院
电子邮件: zheng.wang@siat.ac.cn
通信地址: 深圳市南山区西丽学苑大道1068号
邮政编码:

研究领域

数字集成电路设计,处理器体系结构,人工智能芯片设计,EDA工具设计

招生信息

   
招生专业
081203-计算机应用技术
招生方向
处理器体系结构,集成电路设计,人工智能芯片设计,EDA工具设计

教育背景

2010-09--2015-10   亚琛工业大学   工学博士,电子信息工程
2007-10--2009-09   慕尼黑工业大学   理学硕士,通信工程
2002-09--2006-07   上海交通大学   理学学士,应用物理

工作经历


工作简历
2020-01~现在, 中国科学院深圳先进技术研究院, 副研究员
2017-01~2019-12,中国科学院深圳先进技术研究院, 助理研究员
2015-10~2016-12,南洋理工大学, 博士后研究员
2008-04~2009-10,慕尼黑英飞凌科技有限公司, 实习工程师
社会兼职
2022-02-28-今,IEEE ICTA 2022, 分会主席
2020-12-01-2020-12-03,IEEE BIGDIA 2020, 主会主席
2020-10-01-今,CCF集成电路设计专委, 执行委员
2018-10-15-2018-10-17,IEEE APCCAS 2018, 分会主席
2018-07-07-2018-07-12,IEEE ISVLSI 2018, 分会主席
2018-03-11-2018-03-14,IEEE IV 2018, 分会主席
个人简介

中国科学院深圳先进技术研究院异构智能计算体系结构与系统研究中心副主任,副研究员,博士生导师。自2007年起从事计算机体系结构,超大规模集成电路设计,芯片设计自动化(EDA),人工智能处理器与边缘智能系统等领域科研与工程开发。发表70余篇国内外学术论文,1本英文专著,3部英文章节,申请专利30余项,指导硕士研究生30余人。主持国自然青年基金,面上基金,广东省重点领域研发计划执行负责人,广东省粤深联合基金重点项目,深圳市高层次人才创新创业基金,先进院优秀青年基金等,主持华为横向项目合同到账额300余万。荣获了2016年“欧洲电子设计、自动化与测试大会(DATE)”上最佳论文提名及2015年最佳海报提名,2021年,2023年“国际集成电路、工艺与应用大会(ICTA)”最佳论文题名,数次中国国际高新技术成果交易会优秀产品奖,2022年“中国芯应用设计创新大赛”唯一特等奖,并基于科技成果转化“深圳市中科元物芯科技有限公司”。

曾于2008年至2009年就职于慕尼黑英飞凌科技(Infineon AG)进行嵌入式多核平台操作系统内核开发;曾于2012到2015年间在欧盟科技基金项目GEMSCLAIM中以唯一华人身份担任RISC与VLIW处理器架构师。所设计的ASIP处理器高层次设计空间探索、功耗估计、可靠性分析EDA工具曾展示于2013年及2014年计算机领域顶级会议“国际电子设计自动化大会(DAC)”,并被英国、瑞士等地高校采用作为科研工具。参与新加坡教育部项目“基于自旋器件的超低功耗神经形态计算”进行混合信号超低功耗神经网络处理器、物理不可克隆功能设计,其流片能效比达到当时世界先进水平。入职先进院以来聚焦边缘人工智能处理器体系结构设计、流片与系统开发,成功基于SMIC 40nm,UMC 65nm等工艺流片,并自主研发兼容主流人工智能训练框架的网络部署工具MTOOL。目前面向航空自主无人机与工业智能化领域开展产品应用工作,推广自研工具、芯片、模组,打造边缘智能产品生态。

专利与奖励

   
奖励信息
(1) 中国芯应用创新设计大赛(IAIC), 特等奖, 其他, 2022
(2) 第九届中国电子信息博览会金奖, 一等奖, 其他, 2021
(3) 中国高新技术成果交易会优秀产品奖, 其他, 2020
(4) 广东省人才优粤卡B类, 省级, 2019
(5) 中科院先进院优秀员工, 一等奖, 研究所(学校), 2019
(6) 南山区领航人才C类, 市地级, 2017
(7) 深圳市孔雀人才C类, 市地级, 2017
(8) 中科院先进院优秀员工, 一等奖, 研究所(学校), 2017
(9) 中科院先进院学术新星奖, 一等奖, 研究所(学校), 2017
(10) 欧洲电子设计、自动化与测试大会(DATE)会议最佳论文提名奖, 其他, 2016
(11) 欧洲电子设计、自动化与测试大会(DATE)会议最佳博士生海报提名奖, 其他, 2015
专利成果
( 1 ) 激活函数运算方法、终端设备以及计算机可读存储介质, 发明专利, 2023, 第 1 作者, 专利号: CN202211079622.9

( 2 ) 面向目标检测任务的数据处理方法和数据处理装置, 发明专利, 2023, 第 2 作者, 专利号: CN116434093A

( 3 ) 卷积神经网络的加速计算方法、存储介质和计算机设备, 2023, 第 2 作者, 专利号: CN113379046B

( 4 ) 基于持续学习的手势识别方法、系统、设备及存储介质, 发明专利, 2023, 第 4 作者, 专利号: CN116400809A

( 5 ) 一种数据处理方法、装置以及计算机可读存储介质, 发明专利, 2023, 第 1 作者, 专利号: CN202210765675.X

( 6 ) 数据处理方法、计算架构、电子设备以及可读存储介质, 发明专利, 2023, 第 1 作者, 专利号: CN202210761126.5

( 7 ) 数据处理方法、乘累加器、计算架构、设备及存储介质, 发明专利, 2023, 第 1 作者, 专利号: CN202210753210.2

( 8 ) 基于持续学习的手势识别方法、系统、设备及存储介质, 发明专利, 2023, 第 4 作者, 专利号: CN202310278228.6

( 9 ) 一种基于多GPU的光线追踪渲染加速方法, 发明专利, 2023, 第 3 作者, 专利号: CN202310278228.6

( 10 ) 容错计算方法及其容错计算装置、存储介质和计算机设备, 2023, 第 1 作者, 专利号: CN114064331B

( 11 ) 一种带有AI芯片的智能交互手套及其交互方法、存储介质, 发明专利, 2022, 第 3 作者, 专利号: CN115525141A

( 12 ) 应用在神经网络数据处理中的向量上采样计算方法及装置, 发明专利, 2022, 第 1 作者, 专利号: CN114648444A

( 13 ) 一种算法与硬件协同优化的混合精度存内计算加速器, 发明专利, 2022, 第 3 作者, 专利号: CN114611680A

( 14 ) 应用在神经网络数据处理中的向量融合计算方法及装置, 发明专利, 2022, 第 1 作者, 专利号: CN114595805A

( 15 ) 一种准确快速确定大数据分析系统的配置参数值域的方法, 发明专利, 2022, 第 5 作者, 专利号: CN114416193A

( 16 ) 一种卷积运算优化方法、系统、终端以及存储介质, 发明专利, 2022, 第 1 作者, 专利号: CN114201726A

( 17 ) 应用在神经网络数据处理中的向量融合计算方法及装置, 发明专利, 2022, 第 1 作者, 专利号: CN202210180455.0

( 18 ) 应用在神经网络数据处理中的向量上采样计算方法及装置, 发明专利, 2022, 第 1 作者, 专利号: CN202210180461.6

( 19 ) 数据预加载装置及其预加载方法、存储介质和计算机设备, 发明专利, 2022, 第 1 作者, 专利号: CN114090470A

( 20 ) 数据批处理方法及其批处理装置、存储介质和计算机设备, 发明专利, 2022, 第 1 作者, 专利号: CN114065905A

( 21 ) 容错计算方法及其容错计算装置、存储介质和计算机设备, 发明专利, 2022, 第 1 作者, 专利号: CN114064331A

( 22 ) 卷积神经网络计算的加速方法、装置、设备及存储介质, 2022, 第 2 作者, 专利号: CN109460813B

( 23 ) 一种低成本低功耗脉冲神经网络加速器, 发明专利, 2021, 第 4 作者, 专利号: CN202111538761.9

( 24 ) 卷积神经网络的加速计算方法、存储介质和计算机设备, 发明专利, 2021, 第 2 作者, 专利号: CN113379046A

( 25 ) 一种手势分类识别方法及其应用, 发明专利, 2021, 第 6 作者, 专利号: CN202110542132.7

( 26 ) 一种多线程数据处理方法、加速器及系统, 发明专利, 2021, 第 1 作者, 专利号: CN112561029A

( 27 ) 一种存内计算加速器及其优化方法, 发明专利, 2021, 第 3 作者, 专利号: CN112529171A

( 28 ) 连续运动信息预测模型的训练方法及其训练装置、设备, 发明专利, 2021, 第 2 作者, 专利号: CN112434630A

( 29 ) 一种算法与硬件协同优化的混合精度存内计算加速器, 发明专利, 2020, 第 3 作者, 专利号: CN202011424498.6

( 30 ) 数据流重构方法及可重构数据流处理器, 发明专利, 2020, 第 1 作者, 专利号: CN111105023A

( 31 ) 卷积神经网络计算的加速方法、装置、设备及存储介质, 发明专利, 2019, 第 2 作者, 专利号: CN109460813A

( 32 ) 神经元电路、芯片、系统及其方法、存储介质, 发明专利, 2019, 第 1 作者, 专利号: CN109409510A

( 33 ) 强化学习网络的训练方法、装置、训练设备及存储介质, 专利授权, 2019, 第 1 作者, 专利号: CN109242099A

( 34 ) 基于矢量地图的无人车导航方法、装置、设备及存储介质, 专利授权, 2018, 第 1 作者, 专利号: CN108628324A

( 35 ) 一种存储器容错保护方法、装置、设备及存储介质, 专利授权, 2018, 第 3 作者, 专利号: CN108021467A

( 36 ) 一种用于车辆自动驾驶的策略网络模型的生成方法及装置, 发明专利, 2017, 第 2 作者, 专利号: CN107229973A

出版信息

   
发表论文
(1) COMPACT: Co-processor for Multi-mode Precision-adjustable Non-linear Activation Functions, DATE, 2023, 第 3 作者
(2) Context-Enhanced Stereo Transformer, European Conference on Computer Vision(ECCV), 2022, 第 4 作者
(3) An Intelligent Real-Time Object Detection System on Drones, APPLIED SCIENCES-BASEL, 2022, 通讯作者
(4) Energy-Efficient Inference for Recurrent Neural Networks in Edge-Cloud Computing, Symmetry-Basel, 2022, 第 3 作者
(5) LIPFD-NPU: Low-Overhead Instruction-Driven Permanent Fault Detection for Neural Processing Unit, 2022 VTC-FALL workshop, 2022, 第 2 作者
(6) 多模态高精度非线性激活函数协处理器设计, 计算机辅助设计与图形学学报, 2022, 第 2 作者
(7) AnchorCapsule: a datastream-serving post-processor for object detection in embedded vision SoC, Ieee Transactions on Circuits and Systems Ii-Express Briefs, 2022, 通讯作者
(8) Improving system latency of AI accelerator with on-chip pipelined activation preprocessing and multi-mode batch inference, IEEE 3RD INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS), 2021, 通讯作者
(9) CNN-DMA: A predictable and scalable direct memory access engine for convolutional neural network with sliding-window filtering, ACM Great Lakes Symposium on VLSI (GLSVLSI), 2021, 通讯作者
(10) OR-ML: Enhancing Reliability for Machine Learning Accelerator with Opportunistic Redundancy, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021, 通讯作者
(11) Long exposure convolutional memory network for accurate estimation of finger kinematics from surface electromyographic signals, JOURNAL OF NEURAL ENGINEERING, 2021, 第 3 作者
(12) Highly-accurate gesture recognition based on ResNet with low-budget data gloves, International Conference on Advanced Information Science and System (AISS 2021), 2021, 第 6 作者
(13) Accelerating Atrous Convolution with Fetch-and-Jump Architecture for Activation Positioning, IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA), 2020, 通讯作者
(14) Accelerating hybrid and compact neural networks targeting perception and control domains with coarse-grained dataflow reconfiguration, Accelerating hybrid and compact neural networks targeting perception and control domains with coarse-grained dataflow reconfiguration, 半导体学报:英文版, 2020, 通讯作者
(15) Learn to Make Decision with Small Data for Autonomous Driving: Deep Gaussian Process and Feedback Control, JOURNAL OF ADVANCED TRANSPORTATION, 2020, 第 7 作者
(16) Improving the Performance of Whale Optimization Algorithm through OpenCL-Based FPGA Accelerator, COMPLEXITY, 2020, 第 4 作者
(17) A Current Mirror Cross Bar Based 2.86-TOPS/W Machine Learner and PUF with < 2.5% BER in 65nm CMOS for IoT Application, 2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), 2019, 第 2 作者
(18) A Torque Observer for IPMSM Drives Based on Deep Neural Network, PROCEEDINGS OF THE 2019 14TH IEEE CONFERENCE ON INDUSTRIAL ELECTRONICS AND APPLICATIONS (ICIEA 2019), 2019, 第 6 作者
(19) Accelerating Compact Convolutional Neural Networks with Multi-threaded Data Streaming, 2019 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2019), 2019, 通讯作者
(20) A Generic Block-Level Error Confinement Technique for Memory Based on Principal Component Analysis, APPLIED SCIENCES, 2019, 第 3 作者
(21) A 2.86-TOPS/W Current Mirror Cross-Bar-Based Machine-Learning and Physical Unclonable Function Engine For Internet-of-Things Applications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 2019, 第 2 作者
(22) Current Mirror Array: A Novel Circuit Topology for Combining Physical Unclonable Function and Machine Learning, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 2018, 第 1 作者
(23) Low-cost vector map assisted navigation strategy for autonomous vehicle, 2018 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS 2018), 2018, 通讯作者
(24) 基于可重构阵列架构的强化学习计算引擎, 集成技术, 2018, 第 2 作者
(25) A CGRA based Neural Network Inference Engine for Deep Reinforcement Learning, 2018 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS 2018), 2018, 通讯作者
(26) Accelerator Design for Convolutional Neural Network with Vertical Data Streaming, 2018 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS 2018), 2018, 通讯作者
(27) 一种基于轻量级矢量地图的无人车导航方法, 集成技术, 2018, 第 2 作者
(28) Automated High-level Modeling of Power, Temperature and Timing Variation for Microprocessor, KMUTNB: International Journal of Applied Science and Technology, 2017, 第 1 作者
(29) Currentmirrorarray: AnovellightweightstrongPUFtopologywithenhancedreliability, 2017, 第 1 作者
(30) Reliable Many-Core System-on-Chip Design using K-Node Fault Tolerant Graphs, 2016 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI), 2016, 通讯作者
(31) A Low Overhead Error Confinement Method based on Application Statistical Characteristics, PROCEEDINGS OF THE 2016 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2016, 通讯作者
(32) Mitigation of NBTI-induced Timing Degradation in Processor, ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2016, 第 1 作者
(33) Statistical Fault Injection for Impact-Evaluation of Timing Errors on Application Performance, 2016 ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC), 2016, 第 2 作者
(34) Runtime NBTI Mitigation for Processor Lifespan Extension via Selective Node Control, 25th IEEE Asian Test Symposium (ATS), 2016, 第 1 作者
(35) Architectural Reliability Estimation using Design Diversity, PROCEEDINGS OF THE SIXTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2015), 2015, 通讯作者
(36) Architectural Error Prediction using Probabilistic Error Masking Matrices, PROCEEDINGS OF THE SIXTH ASIA SYMPOSIUM ON QUALITY ELECTRONIC DESIGN ASQED 2015, 2015, 通讯作者
(37) Processor Design with Asymmetric Reliability, 2014 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI), 2014, 通讯作者
(38) System-level Reliability Exploration Framework for Heterogeneous MPSoC, GLSVLSI'14: PROCEEDINGS OF THE 2014 GREAT LAKES SYMPOSIUM ON VLSI, 2014, 通讯作者
(39) Power Modeling and Estimation during ADL-driven Embedded Processor Design, 2013 4TH ANNUAL INTERNATIONAL CONFERENCE ON ENERGY AWARE COMPUTING SYSTEMS AND APPLICATIONS (ICEAC), 2013, 通讯作者
(40) Fast Reliability Exploration for Embedded Processors via High-level Fault Injection, PROCEEDINGS OF THE FOURTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2013), 2013, 通讯作者
(41) Opportunistic Redundancy for Improving Reliability of Embedded Processors, 2013 8TH INTERNATIONAL DESIGN AND TEST SYMPOSIUM (IDT), 2013, 通讯作者
(42) Accurate and efficient reliability estimation techniques during ADL-driven embedded processor design, Design, Automation, and Test in Europe (DATE), 2013, 第 1 作者
(43) High-Level Design Space and Flexibility Exploration for Adaptive, Energy-Efficient WCDMA Channel Estimation Architectures, HINDAWI PUBLISHING CORPORATION, 2012, 第 3 作者
(44) ASIC synthesis using architecture description language, Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012, 第 1 作者
(45) Adaptive energy-efficient architecture for wcdma channel estimation, International Conference on Reconfigurable Computing and FPGAs, 2011, 第 1 作者
发表著作
(1) High-level Estimation and Exploration of Reliability for Multi-Processor System-on-Chip, Springer, 2017-10, 第 1 作者
(2) Low-Power Processor Design Methodology: High-level Estimation and Optimization via Processor Description Language, CRC Press, 2019-03, 第 1 作者
(3) Handbook of Computer Architecture - Architecture Description Languages, Springer, 2023-01, 第 2 作者
(4) Handbook of Computer Architecture - Architectures for Machine Learning, Springer, 2023-01, 第 2 作者

科研活动

   
科研项目
( 1 ) 高层处理器设计流程中延时错误建立及传输机制的研究, 负责人, 国家任务, 2018-01--2020-12
( 2 ) 计算资源受限条件下的神经网络算法与芯片实现, 负责人, 地方任务, 2018-02--2020-02
( 3 ) 多模式数字神经网络处理器设计, 负责人, 研究所自主部署, 2017-07--2019-07
( 4 ) 走航式海洋浮游植物流式成像智能分析仪系统研制, 参与, 中国科学院计划, 2020-01--2021-12
( 5 ) 可敏捷定制的智能视觉处理器及系统应用, 参与, 地方任务, 2020-01--2022-12
( 6 ) 华为公司MDC硬件可靠性项目, 负责人, 境内委托项目, 2019-01--2019-12
( 7 ) 针对鲲鹏处理器的性能大数据分析及系统优化研究, 负责人, 地方任务, 2021-01--2023-12
( 8 ) 高通用性、混合精度、细粒度协同的端侧异构TPU设计关键技术研究, 负责人, 国家任务, 2024-01--2027-12
( 9 ) 华为公司面向ARM架构的内存管理优化关键技术研究, 负责人, 境内委托项目, 2022-07--2023-11
( 10 ) 华为公司内存大数据分析加速关键技术研究, 负责人, 境内委托项目, 2021-03--2021-12
参与国际项目

(1) GEMSCLAIM: GreenEr Mobile Systems by Cross LAyer Integrated energy Management, CHIST-ERA, EU, 2012-2015
(2) UMIC: Ultra High-Speed Mobile Information and Communication, Deutsche Forschungsgemeinschaft (DFG), Germany, 2010-2015
(3) Ultra Low Power Neuromorphic Computing with Spin-devices, Ministry of Education (MoE), Singapore, 2015-2016

指导学生

已指导学生

郭伟钰  硕士研究生  085211-计算机技术  

郭冠男  硕士研究生  085400-电子信息  

闵宏睿  硕士研究生  085404-计算机技术  

陈九屹  硕士研究生  085404-计算机技术  

黄世鑫  硕士研究生  085404-计算机技术  

彭翼  硕士研究生  085404-计算机技术  

辛锦瀚  硕士研究生  085211-计算机技术  

鲁真妍  硕士研究生  085211-计算机技术  

现指导学生

杜志豪  硕士研究生  085404-计算机技术  

单亚龙  硕士研究生  085404-计算机技术  

陈玲  硕士研究生  085404-计算机技术  

蹇皓杰  硕士研究生  085404-计算机技术  

梁志杰  硕士研究生  085404-计算机技术  

吴胤谷  硕士研究生  085404-计算机技术  

郑洽伟  硕士研究生  085400-电子信息  

李振兴  硕士研究生  085400-电子信息  

指导客座学生

姓名        学校             课题方向                                                                就业

梁明兰     桂电             智能处理器片上强化学习模块设计与实现                AMD

李善辽     桂电             基于垂直存储技术的卷积网络计算优化与实现         杰里科技

李闻达     山东理工      无人驾驶路径跟踪技术优化设计与实践                   现代研究院

林跃金     西电             智能处理器快速存储调度模块设计                          芯原微电子

赵炜        西电             大规模检测网络的智能处理器部署                          紫光展锐

周丽冰     西电             智能处理器LSTM模块设计与优化                           紫光展锐

谢文婷     西电             残差网络模块设计、量化网络加速模块设计            紫光展锐

粟金源     西电             基于RISC-VAI数据流计算平台设计                     瓴盛科技

陈文轩     西电             40nm SMIC工艺的智能处理器实现与优化技术       奕斯伟科技

杜安华     西电             智能芯片动态位宽调整技术设计与实现                   芯华章科技

廖健        西电             基于相似性检测技术的智能数据缓冲模块设计         晶晨半导体

董博        西电             基于机会性保护技术的高可靠性智能处理器设计      紫光展瑞

雷明        西电             面向视频应用的三维阵列智能芯片架构设计             华为海思

王卓        西电             基于权重压缩技术的智能处理器性能优化                Synopsys

张千        中科大          基于异构FPGA的实时智能视觉系统设计与评测       哲库科技

梁晨        西电             高密度人工智能计算阵列设计与物理实现                华为海思

肖玺        西电             深度学习加速器的张量处理模块设计与验证             哲库科技

李玉泽     西电             高能效脉冲神经网络加速器关键模块的设计            晶晨半导体