
电子邮件: wangying2009@ict.ac.cn
通信地址: 北京市海淀区中关村科学院南路6号
邮政编码: 100190
研究领域
计算机体系结构,物端智能系统,存储器设计,智能硬件,芯片设计
My research is primarily concerned with the challenges of VLSI design, electronic design automation, computer architecture, and their interaction. These challenges essentially arise from the scaling bottleneck of “memory wall” and “power wall” faced by traditional design paradigms or implementation technology. The potential solution to these challenges, i.e. a basic principle of my research, is possibly that architecture or circuit design must put more emphasis on the optimization of data movement across the whole stack, and be ready for the shift to data-centric design philosophy. The current system, specifically the memory and interconnects sub-system, must be reshaped by two irreversible forces of different directions: emerging physical implementation technologies such as NVM and 3D-ICs, and explosion of innovative applications such as machine learning, big data and in-memory computing.
招生信息
对处理器芯片设计,操作系统,边缘人工智能系统搭建感兴趣,喜欢玩开发板,喜欢搭建系统的同学请联系我。
希望把自己的科研工作传播影响到更多的人,愿意参与顶级学术会议与全世界顶尖科学家合作交流的同学也请联系我。
招生专业
080903-微电子学与固体电子学
招生方向
集成电路设计
智能硬件
教育背景
2009-07--2014-07 中国科学院大学 博士
2003-09--2009-07 哈尔滨工业大学 本科、硕士
工作经历
教授课程
专利与奖励
发表重要国际期刊和会议论文60余篇,专利授权10余项。
获得2016 IEEE Rebooting 低功耗图像识别竞赛冠军
获得2018 IEEE DAC system design contest 低功耗物体追踪竞赛冠军
奖励信息
(2) 北京市技术发明二等奖, 二等奖, 省级, 2022
(3) IEEE/ACM DAC四十岁以下创新奖, 特等奖, 其他, 2021
(4) 中国计算机学会技术发明一等奖, 一等奖, 其他, 2021
(5) 中国科协青年人才托举计划, 部委级, 2017
(6) 中国科学院青年创新促进会, 其他, 2017
(7) 计算机协会青年人才, 其他, 2017
(8) CCF-Intel青年人才奖, 其他, 2017
专利成果
( 2 ) 一种支持多层神经网络层间并行处理的加速器, 发明专利, 2023, 第 5 作者, 专利号: CN116451754A
( 3 ) 一种双调度模式的神经网络加速器, 发明专利, 2022, 第 4 作者, 专利号: CN115423083A
( 4 ) 一种支持向量多序列运算的神经网络加速器及加速装置, 发明专利, 2022, 第 4 作者, 专利号: CN114841329A
( 5 ) 基于生成对抗网络的地表图像生成方法及系统, 发明专利, 2022, 第 3 作者, 专利号: CN114758021A
( 6 ) 一种基于阻变存储器的图神经网络计算方法和装置, 发明专利, 2022, 第 2 作者, 专利号: CN114186598A
( 7 ) 一种基于阻变存储器的图神经网络计算方法和装置, 发明专利, 2022, 第 2 作者, 专利号: CN114186598A
( 8 ) 一种图计算装置, 发明专利, 2021, 第 2 作者, 专利号: CN113505825A
( 9 ) 一种图计算装置, 发明专利, 2021, 第 2 作者, 专利号: CN113505825A
( 10 ) 一种图计算装置, 2023, 第 2 作者, 专利号: CN113505825B
( 11 ) 一种基于对抗生成网络生成高清图像的方法及系统, 发明专利, 2021, 第 2 作者, 专利号: CN113129231A
( 12 ) 计算装置、处理器、电子设备和计算方法, 2022, 第 5 作者, 专利号: CN112132273B
( 13 ) 神经网络的计算装置、处理器和电子设备, 发明专利, 2020, 第 5 作者, 专利号: CN112132272A
( 14 ) 计算装置、处理器、电子设备和计算方法, 发明专利, 2020, 第 5 作者, 专利号: CN112132273A
( 15 ) 一种目标检测方法、系统、加速装置、介质和电子设备, 发明专利, 2020, 第 2 作者, 专利号: CN112070797A
( 16 ) 一种用于神经网络处理器的故障检测方法, 发明专利, 2022, 第 2 作者, 专利号: CN112115009B
( 17 ) 一种基于阻变存储器的神经网络映射方法、加速器, 发明专利, 2020, 第 2 作者, 专利号: CN112070204A
( 18 ) 一种基于阻变存储器的神经网络映射方法、加速器, 2023, 第 2 作者, 专利号: CN112070204B
( 19 ) 一种卷积运算结构及其方法, 发明专利, 2019, 第 4 作者, 专利号: CN110580519A
( 20 ) 一种卷积运算装置及其方法, 2022, 第 4 作者, 专利号: CN110580519B
( 21 ) 一种卷积运算结构及其方法, 发明专利, 2019, 第 4 作者, 专利号: CN110580519A
( 22 ) 一种面向互联网终端的信息安防方法及信息安防系统, 专利授权, 2019, 第 4 作者, 专利号: CN110222846A
( 23 ) 一种面向互联网终端的信息安防方法及信息安防系统, 2021, 第 4 作者, 专利号: CN110222846B
( 24 ) 一种用于神经网络处理器的激活装置及方法, 专利授权, 2019, 第 4 作者, 专利号: CN110147879A
( 25 ) 一种基于winograd卷积运算的卷积神经网络数据处理方法及装置, 发明专利, 2019, 第 4 作者, 专利号: CN110097172A
( 26 ) 一种基于winograd卷积运算的卷积神经网络数据处理方法及装置, 2021, 第 4 作者, 专利号: CN110097172B
( 27 ) 一种面向神经网络的对数量化装置及方法, 2021, 第 4 作者, 专利号: CN110084362B
( 28 ) 一种面向神经网络的对数量化装置及方法, 专利授权, 2019, 第 4 作者, 专利号: CN110084362A
( 29 ) 一种用于神经网络的片上存储处理系统, 专利授权, 2019, 第 3 作者, 专利号: CN110046703A
( 30 ) 一种用于神经网络的片上存储处理系统, 2020, 第 3 作者, 专利号: CN110046703B
( 31 ) 面向固定输出范式Winograd卷积的神经网络处理器, 专利授权, 2019, 第 4 作者, 专利号: CN109359730A
( 32 ) 面向固定输出范式Winograd卷积的神经网络处理器, 2020, 第 4 作者, 专利号: CN109359730B
( 33 ) 面向Winograd卷积的神经网络处理器, 专利授权, 2019, 第 4 作者, 专利号: CN109325591A
( 34 ) 面向Winograd卷积的神经网络处理器, 2020, 第 4 作者, 专利号: CN109325591B
( 35 ) 用于实现计算精度可变的神经网络处理器的装置, 专利授权, 2019, 第 3 作者, 专利号: CN109325590A
( 36 ) 用于实现计算精度可变的神经网络处理器的装置, 2020, 第 3 作者, 专利号: CN109325590B
( 37 ) 基于Winograd卷积的运算装置及包含该装置的神经网络处理器, 发明专利, 2019, 第 4 作者, 专利号: CN109190756A
( 38 ) 基于Winograd卷积的运算装置及包含该装置的神经网络处理器, 发明专利, 2019, 第 4 作者, 专利号: CN109190756A
( 39 ) 基于Winograd卷积的运算装置及包含该装置的神经网络处理器, 2022, 第 4 作者, 专利号: CN109190756B
( 40 ) 面向二值神经网络的计算装置及方法, 2020, 第 4 作者, 专利号: CN109325582B
( 41 ) 面向二值神经网络的计算装置及方法, 专利授权, 2019, 第 4 作者, 专利号: CN109325582A
( 42 ) 面向二值神经网络的二值化装置、方法及应用, 发明专利, 2019, 第 4 作者, 专利号: CN109308517A
( 43 ) 面向二值神经网络的二值化装置、方法及应用, 2021, 第 4 作者, 专利号: CN109308517B
( 44 ) 面向神经网络的矩阵转换装置及方法, 2021, 第 4 作者, 专利号: CN109190755B
( 45 ) 面向神经网络的矩阵转换装置及方法, 专利授权, 2019, 第 4 作者, 专利号: CN109190755A
( 46 ) 一种对卷积神经网络处理器的控制方法及装置, 专利授权, 2021, 第 3 作者, 专利号: CN108985449B
( 47 ) 一种用于卷积神经网络处理器的控制方法及装置, 发明专利, 2018, 第 3 作者, 专利号: CN108875917A
( 48 ) 一种针对卷积神经网络处理器的控制方法及装置, 发明专利, 2018, 第 3 作者, 专利号: CN108875925A
( 49 ) 一种针对卷积神经网络处理器的控制方法及装置, 发明专利, 2018, 第 3 作者, 专利号: CN108875925A
( 50 ) 一种用于卷积神经网络处理器的控制方法及装置, 发明专利, 2018, 第 3 作者, 专利号: CN108875917A
( 51 ) 神经网络激活处理装置和基于该装置的神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN108921288A
( 52 ) 神经网络激活处理装置和基于该装置的神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN108921288A
( 53 ) 应用于神经网络的激活处理装置, 发明专利, 2018, 第 3 作者, 专利号: CN108898216A
( 54 ) 应用于神经网络的激活处理装置, 发明专利, 2018, 第 3 作者, 专利号: CN108898216A
( 55 ) 一种通用型物联网节点装置及其使用方法, 发明专利, 2018, 第 3 作者, 专利号: CN108632359A
( 56 ) 应用于长短时记忆神经网络的计算装置和计算方法, 发明专利, 2018, 第 3 作者, 专利号: CN108510065A
( 57 ) 一种面向多变异体LSTM神经网络加速器及数据处理方法, 发明专利, 2018, 第 4 作者, 专利号: CN108376285A
( 58 ) 一种神经网络加速器及数据处理方法, 2021, 第 4 作者, 专利号: CN108446761B
( 59 ) 一种兼容型神经网络加速器及数据处理方法, 专利授权, 2018, 第 4 作者, 专利号: CN108734270A
( 60 ) 一种兼容型神经网络加速器及数据处理方法, 2020, 第 4 作者, 专利号: CN108734270B
( 61 ) 一种神经网络加速器及数据处理方法, 专利授权, 2018, 第 4 作者, 专利号: CN108446761A
( 62 ) 一种具有低带宽激活装置的神经网络处理器及其方法, 专利授权, 2018, 第 4 作者, 专利号: CN108416435A
( 63 ) 一种具有低带宽激活装置的神经网络处理器及其方法, 2020, 第 4 作者, 专利号: CN108416435B
( 64 ) 一种卷积运算方法和基于该方法的神经网络处理器, 发明专利, 2018, 第 4 作者, 专利号: CN108171328A
( 65 ) 一种神经网络处理器和采用其执行的卷积运算方法, 专利授权, 2020, 第 4 作者, 专利号: CN108171328B
( 66 ) 一种卷积运算方法和基于该方法的神经网络处理器, 发明专利, 2018, 第 4 作者, 专利号: CN108171328A
( 67 ) 一种包括比特转换装置的神经网络处理器及其方法, 发明专利, 2018, 第 4 作者, 专利号: CN108345938A
( 68 ) 神经网络中的权重存储方法以及基于该方法的处理器, 2021, 第 4 作者, 专利号: CN108510058B
( 69 ) 神经网络中的权重存储方法以及基于该方法的处理器, 专利授权, 2018, 第 4 作者, 专利号: CN108510058A
( 70 ) 一种用于神经网络处理器的激活装置及方法, 2020, 第 4 作者, 专利号: CN108345934B
( 71 ) 一种用于神经网络处理器的激活装置及方法, 发明专利, 2018, 第 4 作者, 专利号: CN108345934A
( 72 ) 一种池化计算装置及方法, 专利授权, 2018, 第 3 作者, 专利号: CN108304925A
( 73 ) 一种适用于神经网络的池化装置及方法, 专利授权, 2018, 第 4 作者, 专利号: CN108388943A
( 74 ) 一种适用于神经网络的池化计算装置及方法, 专利授权, 2018, 第 3 作者, 专利号: CN108304926A
( 75 ) 用于神经网络的池化装置和池化方法, 2020, 第 4 作者, 专利号: CN108376283B
( 76 ) 用于神经网络的池化装置和池化方法, 专利授权, 2018, 第 4 作者, 专利号: CN108376283A
( 77 ) 一种适用于神经网络的池化装置及方法, 2020, 第 4 作者, 专利号: CN108388943B
( 78 ) 一种适用于神经网络的池化计算装置及方法, 2020, 第 3 作者, 专利号: CN108304926B
( 79 ) 一种池化计算装置及方法, 2020, 第 3 作者, 专利号: CN108304925B
( 80 ) 一种用于神经网络处理器的加法器和乘法器, 专利授权, 2018, 第 3 作者, 专利号: CN107967132A
( 81 ) 一种用于神经网络处理器的加法器和乘法器, 2020, 第 3 作者, 专利号: CN107967132B
( 82 ) 基于计算阵列的神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN107918794A
( 83 ) 一种用于针对神经网络执行非线性运算的方法和装置, 发明专利, 2018, 第 3 作者, 专利号: CN107861916A
( 84 ) 应用于神经网络的计算方法及计算装置, 专利授权, 2018, 第 3 作者, 专利号: CN107944545A
( 85 ) 权重数据存储方法和基于该方法的神经网络处理器, 2020, 第 4 作者, 专利号: CN107977704B
( 86 ) 应用于神经网络的计算方法及计算装置, 2020, 第 3 作者, 专利号: CN107944545B
( 87 ) 权重数据存储方法和基于该方法的神经网络处理器, 专利授权, 2018, 第 4 作者, 专利号: CN107977704A
( 88 ) 用于加速神经网络处理器的方法和系统及神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN107844829A
( 89 ) 一种用于神经网络处理器的方法, 专利授权, 2018, 第 3 作者, 专利号: CN107832840A
( 90 ) 用于加速神经网络处理器的方法和系统及神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN107729995A
( 91 ) 用于加速神经网络处理器的方法和系统及神经网络处理器, 发明专利, 2018, 第 3 作者, 专利号: CN107729995A
( 92 ) 一种用于神经网络处理器的方法, 发明专利, 2018, 第 3 作者, 专利号: CN107729998A
( 93 ) 一种用于神经网络处理器的方法, 2020, 第 3 作者, 专利号: CN107729998B
( 94 ) 一种用于神经网络处理器的方法, 2020, 第 3 作者, 专利号: CN107832840B
( 95 ) 一种神经网络处理方法及处理系统, 2020, 第 4 作者, 专利号: CN107766292B
( 96 ) 神经网络处理单元及包含该处理单元的处理系统, 2020, 第 4 作者, 专利号: CN107844826B
( 97 ) 神经网络处理单元及包含该处理单元的处理系统, 专利授权, 2018, 第 4 作者, 专利号: CN107844826A
( 98 ) 用于神经网络的处理系统和处理方法, 2020, 第 4 作者, 专利号: CN107818367B
( 99 ) 一种神经网络处理方法及处理系统, 专利授权, 2018, 第 4 作者, 专利号: CN107766292A
( 100 ) 基于流水线的神经网络处理系统和处理方法, 2020, 第 4 作者, 专利号: CN107862374B
( 101 ) 用于神经网络的处理系统和处理方法, 专利授权, 2018, 第 4 作者, 专利号: CN107818367A
( 102 ) 基于流水线的神经网络处理系统和处理方法, 专利授权, 2018, 第 4 作者, 专利号: CN107862374A
( 103 ) 一种适用于神经网络激活函数的计算装置及方法, 发明专利, 2018, 第 3 作者, 专利号: CN107729984A
( 104 ) 一种路由器和片上网络传输系统及方法, 专利授权, 2018, 第 3 作者, 专利号: CN107800700A
( 105 ) 一种路由器和片上网络传输系统及方法, 2020, 第 3 作者, 专利号: CN107800700B
( 106 ) 神经网络计算装置及包含该计算装置的处理器, 发明专利, 2018, 第 3 作者, 专利号: CN107578095A
( 107 ) 基于脉动阵列的神经网络处理器, 2020, 第 3 作者, 专利号: CN107578098B
( 108 ) 神经网络计算装置及包含该计算装置的处理器, 2018, 第 3 作者, 专利号: CN107578095B
( 109 ) 一种非易失性计算装置及其工作方法, 专利授权, 2018, 第 3 作者, 专利号: CN107608824A
( 110 ) 用于加速神经网络处理器的方法和系统及神经网络处理器, 发明专利, 2017, 第 3 作者, 专利号: CN107491811A
( 111 ) 基于脉动阵列的神经网络处理器, 专利授权, 2018, 第 3 作者, 专利号: CN107578098A
( 112 ) 一种基于非易失存储的计算装置及其使用方法, 发明专利, 2017, 第 3 作者, 专利号: CN107391316A
( 113 ) 一种非线性函数计算装置及方法, 发明专利, 2018, 第 3 作者, 专利号: CN107589935A
( 114 ) 一种非易失性计算装置及其工作方法, 2020, 第 3 作者, 专利号: CN107608824B
( 115 ) 面向非线性函数的计算装置及方法, 专利授权, 2018, 第 3 作者, 专利号: CN107590105A
( 116 ) 面向非线性函数的计算装置及方法, 2019, 第 3 作者, 专利号: CN107590105B
( 117 ) 一种用于深度神经网络的压缩装置, 2020, 第 3 作者, 专利号: CN107590533B
( 118 ) 一种用于深度神经网络的压缩装置, 专利授权, 2018, 第 3 作者, 专利号: CN107590533A
( 119 ) 用于神经网络的处理器和处理方法, 发明专利, 2018, 第 3 作者, 专利号: CN107622305A
( 120 ) 应用于稀疏神经网络的处理器和处理方法, 发明专利, 2017, 第 3 作者, 专利号: CN107527090A
( 121 ) 机器人的逆运动学求解系统, 2018, 第 3 作者, 专利号: CN107030698B
( 122 ) 机器人的逆运动学求解系统, 发明专利, 2017, 第 3 作者, 专利号: CN107030698A
( 123 ) 一种二值卷积装置及相应的二值卷积神经网络处理器, 发明专利, 2017, 第 3 作者, 专利号: CN107203808A
( 124 ) 一种二值卷积神经网络处理器及其使用方法, 发明专利, 2017, 第 3 作者, 专利号: CN107153873A
( 125 ) 应用于二值权重卷积网络的处理系统及方法, 2018, 第 3 作者, 专利号: CN107169563B
( 126 ) 应用于二值权重卷积网络的处理系统及方法, 发明专利, 2017, 第 3 作者, 专利号: CN107169563A
( 127 ) 三值权重卷积网络处理系统及方法, 专利授权, 2017, 第 3 作者, 专利号: CN107256424A
( 128 ) 三值权重卷积网络处理系统及方法, 2020, 第 3 作者, 专利号: CN107256424B
( 129 ) 一种二值卷积装置及相应的二值卷积神经网络处理器, 2018, 第 3 作者, 专利号: CN107203808B
( 130 ) 一种二值卷积神经网络处理器及其使用方法, 2018, 第 3 作者, 专利号: CN107153873B
( 131 ) 一种屏幕遥控定位装置及方法, 发明专利, 2017, 第 4 作者, 专利号: CN107256123A
( 132 ) 面向神经网络处理器的近似浮点乘法器及浮点数乘法, 专利授权, 2017, 第 3 作者, 专利号: CN107273090A
( 133 ) 基于双摄像头的图像处理装置及方法, 2019, 第 3 作者, 专利号: CN107087107B
( 134 ) 用于神经网络处理器的浮点乘法器及浮点数乘法, 专利授权, 2017, 第 3 作者, 专利号: CN107291419A
( 135 ) 基于双摄像头的图像处理装置及方法, 专利授权, 2017, 第 3 作者, 专利号: CN107087107A
( 136 ) 面向神经网络处理器的近似浮点乘法器及浮点数乘法, 2020, 第 3 作者, 专利号: CN107273090B
( 137 ) 用于神经网络处理器的浮点乘法器及浮点数乘法, 2020, 第 3 作者, 专利号: CN107291419B
( 138 ) 一种面向近似应用的低开销DRAM刷新方法及系统, 2020, 第 1 作者, 专利号: CN107015628B
( 139 ) 一种防时序侧通道攻击的内存刷新控制方法及装置, 发明专利, 2017, 第 1 作者, 专利号: CN107017016A
( 140 ) 一种面向近似应用的低开销DRAM刷新方法及系统, 专利授权, 2017, 第 1 作者, 专利号: CN107015628A
( 141 ) 一种使用快照的可调试性设计追踪方法及装置, 2019, 第 2 作者, 专利号: CN107066707B
( 142 ) 一种复用追踪缓存的触发装置及设计方法, 专利授权, 2017, 第 2 作者, 专利号: CN107103116A
( 143 ) 一种复用追踪缓存的触发装置及设计方法, 2019, 第 2 作者, 专利号: CN107103116B
( 144 ) 一种使用快照的可调试性设计追踪方法及装置, 专利授权, 2017, 第 2 作者, 专利号: CN107066707A
( 145 ) 一种多计算精度神经网络处理方法和系统, 发明专利, 2017, 第 3 作者, 专利号: CN107423816A
( 146 ) 一种针对神经网络处理的权重加解密方法和系统, 2018, 第 3 作者, 专利号: CN107086910B
( 147 ) 基于自旋转移力矩磁存储器的神经网络处理方法及系统, 发明专利, 2017, 第 4 作者, 专利号: CN107103358A
( 148 ) 一种多计算精度神经网络处理方法和系统, 2021, 第 3 作者, 专利号: CN107423816B
( 149 ) 一种针对神经网络处理的权重加解密方法和系统, 发明专利, 2017, 第 3 作者, 专利号: CN107086910A
( 150 ) 一种基于模式频率统计编码的神经网络处理器及设计方法, 发明专利, 2017, 第 3 作者, 专利号: CN107092961A
( 151 ) 一种基于高效复用数据流的神经网络处理器及设计方法, 2020, 第 3 作者, 专利号: CN107085562B
( 152 ) 适用神经网络处理器的自动化设计方法、装置及优化方法, 发明专利, 2017, 第 3 作者, 专利号: CN107016175A
( 153 ) 一种基于模式频率统计编码的神经网络处理器及设计方法, 2018, 第 3 作者, 专利号: CN107092961B
( 154 ) 一种基于高效复用数据流的神经网络处理器及设计方法, 专利授权, 2017, 第 3 作者, 专利号: CN107085562A
( 155 ) 面向神经网络处理器的自动化设计方法、装置及优化方法, 2019, 第 3 作者, 专利号: CN107103113B
( 156 ) 面向神经网络处理器的自动化设计方法、装置及优化方法, 发明专利, 2017, 第 3 作者, 专利号: CN107103113A
( 157 ) 适用神经网络处理器的自动化设计方法、装置及优化方法, 2018, 第 3 作者, 专利号: CN107016175B
( 158 ) 一种高自由度机器人逆运动学的快速求解方法及系统, 专利授权, 2017, 第 3 作者, 专利号: CN106844985A
( 159 ) 一种高自由度机器人逆运动学的快速求解方法及系统, 2019, 第 3 作者, 专利号: CN106844985B
( 160 ) 一种基于数据压缩的神经网络处理器、设计方法、芯片, 专利授权, 2017, 第 3 作者, 专利号: CN106447034A
( 161 ) 一种基于时间维和空间维数据流压缩的处理器、设计方法, 2019, 第 4 作者, 专利号: CN106650924B
( 162 ) 一种基于数据压缩的神经网络处理器、设计方法、芯片, 2019, 第 3 作者, 专利号: CN106447034B
( 163 ) 一种基于权重压缩的神经网络处理器、设计方法、芯片, 发明专利, 2017, 第 3 作者, 专利号: CN106529670A
( 164 ) 一种基于时间维和空间维数据流压缩的处理器、设计方法, 专利授权, 2017, 第 4 作者, 专利号: CN106650924A
( 165 ) 一种基于权重压缩的神经网络处理器、设计方法、芯片, 2019, 第 3 作者, 专利号: CN106529670B
( 166 ) 一种时分复用的通用神经网络处理器, 发明专利, 2015, 第 2 作者, 专利号: CN105184366A
( 167 ) 一种时分复用的通用神经网络处理器, 2018, 第 2 作者, 专利号: CN105184366B
出版信息
发表论文
[2] Gao, Chengsi, Wang, Ying, Han, Yinhe, Chen, Weiwei, Zhang, Lei. IVP: An Intelligent Video Processing Architecture for Video Streaming. IEEE TRANSACTIONS ON COMPUTERS[J]. 2023, 第 2 作者 通讯作者 72(1): 264-277,
[3] 杜一博, 王颖, 梁胜文, 李华伟, 李晓维, 韩银和. PANG: A Pattern-Aware GCN Accelerator for Universal Graphs. 2023 IEEE 41st International Conference on Computer Design (ICCD). 2023, 第 2 作者
[4] 王梦迪, 王颖, 刘成, 常开颜, 高成思, 韩银和, 李华伟, 张磊. Puzzle:面向深度学习集成芯片的可扩展框架. 计算机研究与发展[J]. 2023, 第 2 作者60(6): 1216-1231, http://lib.cqvip.com/Qikan/Article/Detail?id=7109744548.
[5] 李雯, 王颖, 刘成, 何银涛, 刘炼, 李华伟, 李晓维. On-line Fault Protection for ReRAM-based Neural Networks. IEEE Transactions on Computers[J]. 2023, 第 2 作者 通讯作者 72(2): 423-437,
[6] 张忠诚, Ou, Yan, 刘颖, 王晨曦, Zhou, Yongbin, Wang, Xiaoyu, Zhang, Yuyang, Ouyang, Yucheng, Wang,Ying, xue jingling, 崔慧敏, 冯晓兵. OCCAMY:Elastically Sharing an On-chip Vector Co-processor Across Multiple Cores. Architectural Support for Programming Languages and Operating Systems (ASPLOS). 2023, 第 9 作者
[7] Xue, Xinghua, 刘成, Wang, Ying, Yang, Bing, Luo, Tao, Zhang, Lei, Li, Huawei, Li, Xiaowei. Soft Error Reliability Analysis of Vision Transformers. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2023, 第 3 作者null(null): http://dx.doi.org/10.1109/TVLSI.2023.3317138.
[8] 肖航, 许浩博, 王颖, 李佳骏, 王郁杰, 韩银和. 面向卷积神经网络的高能效比特稀疏加速器设计. 计算机辅助设计与图形学学报[J]. 2023, 第 3 作者35(7): 1122-1131, https://www.jcad.cn/article/doi/10.3724/SP.J.1089.2023.19478.
[9] 黄海同, 薛兴华, 刘成, 王颖, 李华伟, 李晓维. Statistical Modeling of Soft Error Influence on Neural Networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)[J]. 2023, 第 4 作者
[10] Yinhe Han, Haobo Xu, Meixuan Lu, Haoran Wang, Junpei Huang, Ying Wang, Yujie Wang, Feng Min, Qi Liu, Ming Liu, Ninghui Sun. The Big Chip: Challenge, Model and Architecture. FUNDAMENTAL RESEARCH[J]. 2023, 第 6 作者http://dx.doi.org/10.1016/j.fmre.2023.10.020.
[11] 王浩然, 许浩博, 王颖, 韩银和. CTA: Hardware-Software Co-design for Compressed Token Attention Mechanism. IEEE International Symposium on High-Performance Computer Architecture(HPCA)). 2023, 第 3 作者 通讯作者
[12] Lv, Hao, Li, Bing, Zhang, Lei, Liu, Cheng, Wang, Ying. Variation Enhanced Attacks Against RRAM-Based Neuromorphic Computing System. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2023, 第 5 作者 通讯作者 42(5): 1588-1596, http://dx.doi.org/10.1109/TCAD.2022.3207316.
[13] Erjing Luo, 黄海同, 刘成, 李国宇, Bing Yang, 王颖, 李华伟, 李晓维. DeepBurning-MixQ: An Open Source Mixed-Precision Neural Network Accelerator Design Framework for FPGAs. 2023 IEEE International Conference on Computer-Aided Design (ICCAD). 2023, 第 6 作者null(null):
[14] Li, Wen, Wang, Ying, Liu, Cheng, He, Yintao, Liu, Lian, Li, Huawei, Li, Xiaowei. On-Line Fault Protection for ReRAM-Based Neural Networks. IEEE TRANSACTIONS ON COMPUTERS[J]. 2023, 第 2 作者 通讯作者 72(2): 423-437, http://dx.doi.org/10.1109/TC.2022.3160345.
[15] 李佳骏, 许浩博, 王郁杰, 肖航, 王颖, 韩银和, 李晓维. 面向高能效加速器的二值化神经网络设计和训练方法. 计算机辅助设计与图形学学报[J]. 2023, 第 5 作者35(6): 961-969, https://www.jcad.cn/article/doi/10.3724/SP.J.1089.2023.19461.
[16] Wang, Ying, Jia, WenQing, Jiang, DeJun, Xiong, Jin. A Survey of Non-Volatile Main Memory File Systems. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2023, 第 1 作者 通讯作者 38(2): 348-372, http://dx.doi.org/10.1007/s11390-023-1054-3.
[17] 李苍源, 王颖, 李华伟, 李晓维. APPEND: Rethinking ASIP Synthesis in the Era of AI. 2023 60th ACM/IEEE Design Automation Conference (DAC). 2023, 第 2 作者
[18] 褚诚, 刘成, 王颖, 李华伟, 李晓维. Accelerating Deformable Convolution Networks with Dynamic and Irregular Memory Accesses. ACM Transactions on Design Automation of Electronic Systems (TODAES)[J]. 2023, 第 3 作者
[19] 薛兴华, 刘成, 刘波, 黄海同, 王颖, 罗涛, 张磊, 李华伟, 李晓维. Exploring Winograd Convolution for Cost-Effective Neural Network Fault Tolerance. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI)[J]. 2023, 第 5 作者31(11): 1763-1773,
[20] He, Yuquan, Zhang, Long, Liu, Cheng, Zhang, Lei, Wang, Ying. S-2 Loop: A Lightweight Spectral-Spatio Loop Closure Detector for Resource-Constrained Platforms. IEEE ROBOTICS AND AUTOMATION LETTERS[J]. 2023, 第 5 作者 通讯作者 8(3): 1826-1833, http://dx.doi.org/10.1109/LRA.2023.3243809.
[21] Chen, Weiwei, Wang, Ying, Xu, Ying, Gao, Chengsi, Liu, Cheng, Zhang, Lei. A Framework for Neural Network Architecture and Compile Co-optimization. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS[J]. 2023, 第 2 作者 通讯作者 22(1):
[22] Zou Kaiwei, Wang Ying, Cheng Long, Qu Songyun, Li Huawei, Li Xiaowei. CAP: Communication-aware Automated Parallelization for Deep Learning Inference on CMP Architectures. IEEE TRANSACTIONS ON COMPUTERS[J]. 2022, 第 2 作者 通讯作者 71(7): 1626-1639,
[23] He Yintao, Wang Ying, Li Huawei, Li Xiaowei. Saving Energy of RRAM-based Neural Accelerator through State-Aware Computing. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2022, 第 2 作者 通讯作者 41(7): 2115-2127,
[24] 何银涛, 曲松云, 王颖, 李冰, 李华伟, 李晓维. InfoX: An Energy-Efficient ReRAM Accelerator Design with Information-Lossless Low-Bit ADCs. 2022 59th ACM/EDAC/IEEE Design Automation Conference (DAC). 2022, 第 3 作者 通讯作者
[25] Xiaohan Ma, Ying Wang, Yujie Wang, Xuyi Cai, 韩银和. Survey on chiplets: interface, interconnect and integration methodology. CCF Trans. High Perform. Comput.[J]. 2022, 第 2 作者
[26] 何羽泉, 曲松云, 林刚亮, 王颖, 刘成, 张磊. Processing-in-SRAM Acceleration for Ultra-Low Power Visual 3D Perception. In proceedings of ACM/IEEE Design Automation Conference (DAC). 2022, 第 4 作者 通讯作者
[27] 王颖, 李华伟, 何银涛, Cheng Long, 李晓维. A Fast Precision Tuning Solution for Always-On DNN Accelerators. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2022, 第 1 作者41(5): 1236-1248,
[28] Weiwei Chen, Ying Wang, Ying Xu, Chengsi Gao, 韩银和, Lei Zhang. Amphis: Managing Reconfigurable Processor Architectures With Generative Adversarial Learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.[J]. 2022, 第 2 作者41(11):
[29] He, Zhen, Shi, Cong, Wang, Tengxiao, Wang, Ying, Tian, Min, Zhou, Xichuan, Li, Ping, Liu, Liyuan, Wu, Nanjian, Luo, Gang. A Low-Cost FPGA Implementation of Spiking Extreme Learning Machine With On-Chip Reward-Modulated STDP Learning. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS[J]. 2022, 第 4 作者69(3): 1657-1661, http://dx.doi.org/10.1109/TCSII.2021.3117699.
[30] 梁胜文, 王颖, 李华伟, 李晓维. Cognitive SSD+: a deep learning engine for energy-efficient unstructured data retrieval. CCF Trans. High Performance Computing[J]. 2022, 第 2 作者 通讯作者 4: 302-320,
[31] 无, 王颖, 李晓维, 李华伟, 鄢贵海. 专用处理器芯片自动设计技术与应用. 中国科技成果[J]. 2022, 第 2 作者23(5): 76-76, http://lib.cqvip.com/Qikan/Article/Detail?id=7107032498.
[32] Xiao, Hang, Xu, Haobo, Wang, Ying, Wang, Yujie, Han, Yinhe. LINAC: A Spatially Linear Accelerator for Convolutional Neural Networks. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 第 3 作者 通讯作者 21(1): 29-32, http://dx.doi.org/10.1109/LCA.2022.3167465.
[33] 梁胜文, 袁梓铭, 王颖, 刘成, 李华伟, 李晓维. VStore: In-Storage Graph Based Vector Search Accelerator. ACM/IEEE Design Automation Conference (DAC). 2022, 第 3 作者 通讯作者
[34] Wang, Ying, He, Yintao, Cheng, Long, Li, Huawei, Li, Xiaowei. A Fast Precision Tuning Solution for Always-On DNN Accelerators. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2022, 第 1 作者41(5): 1236-1248,
[35] Wang Yongchen, Wang Ying, Li Huawei, Li Xiaowei. An Efficient Deep Learning Accelerator Architecture for Compressed Video Analysis. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2022, 第 2 作者 通讯作者 41(9): 2808-2820,
[36] 李福平, 王颖, 刘成, 李华伟, 李晓维. NoCeption: A Fast PPA Prediction Framework for Network-on-Chips Using Graph Neural Network. Design, Automation & Test in Europe Conference. 2022, 第 2 作者 通讯作者
[37] Min, Feng, Wang, Ying, Xu, Haobo, Huang, Junpei, Wang, Yujie, Zou, Xingqi, Lu, Meixuan, Han, Yinhe. Dadu-SV: Accelerate Stereo Vision Processing on NPU. IEEE EMBEDDED SYSTEMS LETTERS[J]. 2022, 第 11 作者14(4): 191-194,
[38] Liang, Shengwen, Wang, Ying, Liu, Cheng, He, Lei, Li, Huawei, Xu, Dawen, Li, Xiaowei. (获得2021年最佳论文奖)EnGN: A High-Throughput and Energy-Efficient Accelerator for Large Graph Neural Networks. IEEE TRANSACTIONS ON COMPUTERS[J]. 2021, 第 2 作者 通讯作者 70(9): 1511-1525,
[39] 王颖. An Automated Quantization Framework for High-utilization RRAM-based PIM. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021, 第 1 作者
[40] Cheng, Long, Wang, Ying, Liu, Qingzhi, Epema, Dick H J, Liu, Cheng, Mao, Ying, Murphy, John. Network-Aware Locality Scheduling for Distributed Data Operators in Data Centers. IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS[J]. 2021, 第 2 作者 通讯作者 32(6): 1494-1510, http://dx.doi.org/10.1109/TPDS.2021.3053241.
[41] 何银涛, 王颖, 刘成, 李华伟, 李晓维. TARe: Task-Adaptive in-situ ReRAM Computing for Graph Learning. In proceedings of ACM/IEEE Design Automation Conference (DAC). 2021, 第 2 作者 通讯作者
[42] 陈维伟, 王颖, 林刚亮, 高成思, 刘成, 张磊. CHaNAS: Coordinated Search for Network Architecture and Scheduling Policy. Language, Compilers, Tools and Theory of Embedded Systems (LCTES). 2021, 第 2 作者 通讯作者
[43] Wang Yongchen, Wang, Ying, Li Huawei, Li Xiaowei. PixelSieve: Towards Efficient Activity Analysis From Compressed Video Streams. IEEE/ACM Design Automation Conference (DAC), CCF A类国际会议. 2021, 第 2 作者 通讯作者
[44] 李沧元, 王颖, 刘成, 梁胜文, 李华伟, 李晓维. GLIST: Towards In-Storage Graph Learning. USENIX Annual Technical Conference (ATC). 2021, 第 2 作者 通讯作者
[45] Xu, Dawen, Zhu, Ziyang, 刘成, Wang, Ying, Zhao, Shuang, Zhang, Lei, Liang, Huaguo, Li, Huawei, Cheng, KwangTing. Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2021, 第 4 作者29(3): 472-484, http://dx.doi.org/10.1109/TVLSI.2020.3046075.
[46] 许浩博, 王颖, 王郁杰, 张士长, 刘博生, 韩银和. 面向深度可分离卷积的硬件高效加速器设计. 高技术通讯[J]. 2021, 第 2 作者31(8): 791-799, http://lib.cqvip.com/Qikan/Article/Detail?id=7105493378.
[47] Wang, Ying, Wang, Yongchen, Shi, Cong, Cheng, Long, Li, Huawei, Li, Xiaowei. An Edge 3D CNN Accelerator for Low-Power Activity Recognition. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2021, 第 1 作者40(5): 918-930, http://dx.doi.org/10.1109/TCAD.2020.3011042.
[48] 张士长, 王郁杰, 肖航, 许浩博, 李佳骏, 王颖, 张浩天, 李晓维, 韩银和. 支持CNN与LSTM的二值权重神经网络芯片. 高技术通讯[J]. 2021, 第 6 作者31(2): 122-128, http://lib.cqvip.com/Qikan/Article/Detail?id=7104147956.
[49] Min, Feng, Xu, Haobo, Wang, Ying, Wang, Yujie, Li, Jiajun, Zou, Xingqi, Li, Bei, Han, Yinhe. Dadu-Eye: A 5.3 TOPS/W, 30 fps/1080p High Accuracy Stereo Vision Accelerator. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS[J]. 2021, 第 3 作者 通讯作者 68(10): 4207-4220, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000701250600022.
[50] 包云岗, 常轶松, 韩银和, 黄立波, 李华伟, 梁云, 罗国杰, 尚笠, 唐丹, 王颖, 解壁伟, 喻文健, 张科, 孙凝晖. 处理器芯片敏捷设计方法:问题与挑战. 计算机研究与发展[J]. 2021, 第 10 作者58(6): 1131-1145, http://lib.cqvip.com/Qikan/Article/Detail?id=7104820792.
[51] Zhou, Xianzhong, Wang, Ying. Enhancing the security of memory in cloud infrastructure through in-phase change memory data randomisation. IET COMPUTERS AND DIGITAL TECHNIQUES[J]. 2021, 第 2 作者 通讯作者 15(5): 321-334, http://dx.doi.org/10.1049/cdt2.12023.
[52] 王梦迪, 王颖, 刘成, 张磊. Network-on-Interposer Design for Agile Neural-Network Processor Chip Customization. proceedings of ACM/IEEE Design Automation Conference (DAC)[J]. 2021, 第 2 作者 通讯作者
[53] Wu, Bi, Wang, Zhaohao, Li, Yuxuan, Wang, Ying, Liu, Dijun, Zhao, Weisheng, Hu, Xiaobo Sharon. A NAND-SPIN-Based Magnetic ADC. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS[J]. 2021, 第 4 作者68(2): 617-621, http://dx.doi.org/10.1109/TCSII.2020.3013659.
[54] 马晓晗, 佀畅, 王颖, 刘成, 张磊. NASA: Accelerating Neural Network Design with a NAS Processor. International Symposium on Computer Architecture (ISCA)[J]. 2021, 第 3 作者 通讯作者
[55] Wang, Tengxiao, Shi, Cong, Zhou, Xichuan, Lin, Yingcheng, He, Junxian, Gan, Ping, Li, Ping, Wang, Ying, Liu, Liyuan, Wu, Nanjian, Luo, Gang. CompSNN: A lightweight spiking neural network based on spatiotemporally compressive spike features. NEUROCOMPUTING[J]. 2021, 第 8 作者425: 96-106, http://dx.doi.org/10.1016/j.neucom.2020.10.100.
[56] 何羽泉, 王颖, 刘成, 张磊. PicoVO: A Lightweight RGB-D Visual Odometry Targeting Resource-Constrained IoT Devices. The 2021 IEEE International Conference on Robotics and Automation (ICRA)[J]. 2021, 第 2 作者 通讯作者
[57] Tang Yibin, Wang Ying, Li Huawei, Li Xiaowei. To cloud or not to cloud: an on‑line scheduler for dynamic privacy‑protection of deep learning workload on edge devices. CCF Transactions on High Performance Computing[J]. 2021, 第 2 作者3(1): 85-100,
[58] 贺磊, 刘成, 王颖, 梁胜文, 李华伟. GCiM: A Near-Data Processing Accelerator for Graph Construction. IEEE/ACM Proceedings of Design, Automation Conference (DAC). 2021, 第 3 作者 通讯作者
[59] 许浩博, 王颖, 王郁杰, 闵丰, 韩银和. 面向多任务处理的神经网络加速器设计. 高技术通讯[J]. 2021, 第 2 作者31(5): 457-463, http://lib.cqvip.com/Qikan/Article/Detail?id=7104811305.
[60] Chen, Weiwei, Wang, Ying, Yang, Shuang, Liu, Chen, Zhang, Lei, DiNatale, G, Bolchini, C, Vatajelu, EI. Towards Best-effort Approximation: Applying NAS to General-purpose Approximate Computing. PROCEEDINGSOFTHE2020DESIGNAUTOMATIONTESTINEUROPECONFERENCEEXHIBITIONDATE2020. 2020, 第 2 作者1315-1318,
[61] Xu, Dawen, Liu, Cheng, Wang, Ying, Tu, Kaijie, He, Bingsheng, Zhang, Lei. Accelerating Generative Neural Networks on Unmodified Deep Learning Processors-A Software Approach. IEEE TRANSACTIONS ON COMPUTERS[J]. 2020, 第 3 作者 通讯作者 69(8): 1172-1184, http://dx.doi.org/10.1109/TC.2020.3001033.
[62] He, Yintao, Wang, Ying, Zhao, Xiandong, Li, Huawei, Lit, Xiaowei, IEEE. Towards State-Aware Computation in ReRAM Neural Networks. PROCEEDINGS OF THE 2020 57TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[J]. 2020, 第 2 作者 通讯作者 http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000628528400234.
[63] Bishnoi, Rajendra, Wu, Lizhou, Fieback, Moritz, Muench, Christopher, Nair, Sarath Mohanachandran, Tahoori, Mehdi, Wang, Ying, Li, Huawei, Hamdioui, Said, IEEE Comp Soc. Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis. 2020 IEEE 38TH VLSI TEST SYMPOSIUM (VTS 2020)[J]. 2020, 第 7 作者
[64] 许达文, 王乾龙, 刘成, 褚程, 王颖, 梁华国, 郑光庭. A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators. The 38th IEEE International Conference on Computer Design (ICCD). 2020, 第 5 作者
[65] 梁胜文, 刘成, 王颖, 李华伟, 李晓维. DeepBurning-GL: an Automated Framework for Generating Graph Neural Network Accelerators. IEEE/ACM International Conference on Computer-Aided Design (ICCAD)[J]. 2020, 第 3 作者 通讯作者
[66] Qu, Songyun, Li, Bing, Wang, Ying, Xu, Dawen, Zhao, Xiandong, Zhang, Lei, IEEE. RaQu: An high-utilization CNN quantization and mapping framework for general-purpose RRAM Accelerator. PROCEEDINGS OF THE 2020 57TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC). 2020, 第 11 作者
[67] Ni, Jiacheng, Liu, Keren, Wu, Bi, Zhao, Weisheng, Cheng, Yuanqing, Zhang, Xiaolong, Wang, Ying. Write Back Energy Optimization for STT-MRAM-based Last-level Cache with Data Pattern Characterization. ACMJOURNALONEMERGINGTECHNOLOGIESINCOMPUTINGSYSTEMS[J]. 2020, 第 7 作者16(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000582598100006.
[68] He, Wei, Huang, Jinguo, Wang, Tengxiao, Lin, Yingcheng, He, Junxian, Zhou, Xichuan, Li, Ping, Wang, Ying, Wu, Nanjian, Shi, Cong. A High-Speed Low-Cost VLSI System Capable of On-Chip Online Learning for Dynamic Vision Sensor Data Classification. SENSORS[J]. 2020, 第 8 作者20(17): https://doaj.org/article/9e88b6b0aaa54e93a945f5d2f35e7d07.
[69] Wu, Bi, Dai, Pengcheng, Wang, Zhaohao, Wang, Chao, Wang, Ying, Yang, Jianlei, Cheng, Yuanqing, Liu, Dijun, Zhang, Youguang, Zhao, Weisheng, Hu, Xiaobo Sharon. Bulkyflip: A NAND-SPIN-Based Last-Level Cache With Bandwidth-Oriented Write Management Policy. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS[J]. 2020, 第 5 作者 通讯作者 67(1): 108-120, http://dx.doi.org/10.1109/TCSI.2019.2947242.
[70] Zhao, Xiandong, Wang, Ying, Liu, Cheng, Shi, Cong, Tu, Kaijie, Zhang, Lei. BitPruner: Network Pruning for Bit-serial Accelerators. PROCEEDINGS OF THE 2020 57TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[J]. 2020, 第 2 作者 通讯作者
[71] Xu, Dawen, Chu, Kexin, Liu, Cheng, Wang, Ying, Zhang, Lei, Li, Huawei. CNT-Cache: an Energy-Efficient Carbon Nanotube Cache with Adaptive Encoding. PROCEEDINGS OF THE 2020 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2020)[J]. 2020, 第 4 作者963-966,
[72] Wu, Bi, Wang, Chao, Wang, Zhaohao, Wang, Ying, Zhang, Deming, Liu, Dijun, Zhang, Youguang, Hu, Xiaobo Sharon. Field-Free 3T2SOT MRAM for Non-Volatile Cache Memories. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS[J]. 2020, 第 4 作者67(12): 4660-4669, http://dx.doi.org/10.1109/TCSI.2020.3020798.
[73] Wu, Bi, Dai, Pengcheng, Cheng, Yuanqing, Wang, Ying, Yang, Jianlei, Wang, Zhaohao, Liu, Dijun, Zhao, Weisheng. A Novel High Performance and Energy Efficient NUCA Architecture for STT-MRAM LLCs With Thermal Consideration. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2020, 第 4 作者39(4): 803-815, http://dx.doi.org/10.1109/TCAD.2019.2897707.
[74] Xu, Dawen, Zhu, Ziyang, Liu, Cheng, Wang, Ying, Li, Huawei, Zhang, Lei, Cheng, KwangTing. Persistent Fault Analysis of Neural Networks on FPGA-based Acceleration System. 2020 IEEE 31ST INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2020)[J]. 2020, 第 4 作者85-92,
[75] 高成思, 陈维伟, 王颖. 一种针对多核神经网络处理器的窃取攻击. 信息安全学报[J]. 2020, 第 3 作者5(3): 23-34, http://jcs.iie.ac.cn/xxaqxb/ch/reader/view_abstract.aspx?file_no=20200304&flag=1.
[76] Zou Kaiwei, Wang Ying, Li Huawei, Li Xiaowei, IEEE. Learn-to-Scale: Parallelizing Deep Learning Inference on Chip Multiprocessor Architecture. 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE)[J]. 2019, 第 2 作者1172-1177,
[77] Wang Ying, Liang Shengwen, Li Huawei, Li Xiaowei, ACM. A None-Sparse Inference Accelerator that Distills and Reuses the Computation Redundancy in CNNs. PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[J]. 2019, 第 1 作者 通讯作者 http://dx.doi.org/10.1145/3316781.3317749.
[78] Xu Dawen, Li Li, Wang Ying, Liu Cheng, Li Huawei. Exploring Emerging CNFET for Efficient Last Level Cache Design. 24TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2019)[J]. 2019, 第 3 作者426-431, http://dx.doi.org/10.1145/3287624.3287700.
[79] Xu, Sheng, Chen, Xiaoming, Wang, Ying, Han, Yinhe, Qian, Xuehai, Li, Xiaowei. PIMSim: A Flexible and Detailed Processing-in-Memory Simulator. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2019, 第 3 作者18(1): 6-9, http://dx.doi.org/10.1109/LCA.2018.2885752.
[80] Cheng, Long, Kotoulas, Spyros, Liu, Qingzhi, Wang, Ying. Load-balancing distributed outer joins through operator decomposition. JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING[J]. 2019, 第 4 作者132: 21-35, http://dx.doi.org/10.1016/j.jpdc.2019.05.008.
[81] Liang Shengwen, Wang Ying, Liu Cheng, Li Huawei, Li Xiaowei. InS-DLA: An In-SSD Deep Learning Accelerator for Near-Data Processing. 2019 29TH INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL)[J]. 2019, 第 2 作者 通讯作者 173-179,
[82] Liang Shengwen, Wang Ying, Lu Youyou, Yang Zhe, Li Huawei, Li Xiaowei, USENIX Assoc. Cognitive SSD: A Deep Learning Engine for In-Storage Data Retrieval. PROCEEDINGS OF THE 2019 USENIX ANNUAL TECHNICAL CONFERENCE[J]. 2019, 第 2 作者 通讯作者 395-410, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000489756800028.
[83] 许达文, 邢扣子, 刘成, 王颖, 梁华国, 张磊. Resilient Neural Network Training for Accelerators with Computing Errors. The 30th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). 2019, 第 4 作者
[84] Wang, Yongchen, Wang, Ying, Li, Huawei, Shi, Cong, Li, Xiaowei, ACM. Systolic Cube: A Spatial 3D CNN Accelerator Architecture for Low Power Video Analysis. PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[J]. 2019, 第 2 作者 通讯作者 http://dx.doi.org/10.1145/3316781.3317919.
[85] Zhang, Shichang, Wang, Ying, Chen, Xiaoming, Han, Yinhe, Wang, Yujie, Li, Xiaowei. Thread: Towards fine-grained precision reconfiguration in variable-precision neural network accelerator. IEICE ELECTRONICS EXPRESS[J]. 2019, 第 2 作者16(14): https://www.webofscience.com/wos/woscc/full-record/WOS:000480417500001.
[86] Tian, Ling, Gao, Jianliang, Wang, Jianxin, Wang, Ying, Song, Bo, Hu, Xiaohua, Yoo, IH, Bi, JB, Hu, X. Measuring Disease Similarity Based on Multiple Heterogeneous Disease Information Networks. 2019 IEEE INTERNATIONAL CONFERENCE ON BIOINFORMATICS AND BIOMEDICINE (BIBM). 2019, 第 4 作者228-231,
[87] 刘成, 陈鑫宇, 何丙胜, 王颖, 张磊. OBFS: OpenCL Based BFS Optimization on Software Programmable FPGAs. In 2019 International Conference on Field Programmable Technology (FPT). 2019, 第 4 作者
[88] Tang, Yibin, Wang, Ying, Li, Huawei, Li, Xiaowei. MV-Net: Toward Real-Time Deep Learning on Mobile GPGPU Systems. ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS[J]. 2019, 第 2 作者15(4): http://dx.doi.org/10.1145/3358696.
[89] Wu, Bi, Zhang, Beibei, Cheng, Yuanqing, Wang, Ying, Liu, Dijun, Zhao, Weisheng. An Adaptive Thermal-Aware ECC Scheme for Reliable STT-MRAM LLC Design. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2019, 第 4 作者27(8): 1851-1860,
[90] Cheng, Yun, Li, Huawei, Wang, Ying, Li, Xiaowei. Cluster Restoration-Based Trace Signal Selection for Post-Silicon Debug. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2019, 第 3 作者38(4): 767-779, http://dx.doi.org/10.1109/TCAD.2018.2818690.
[91] Wang, Ying, Li, Huawei, Cheng, Long, Li, Xiaowei. A QoS-QoR Aware CNN Accelerator Design Approach. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2019, 第 1 作者38(11): 1995-2007, http://dx.doi.org/10.1109/TCAD.2018.2877010.
[92] Liu, Chen, Cheng, Yuanqing, Wang, Ying, Zhang, Youguang, Zhao, Weisheng, IEEE. NEAR: A Novel Energy Aware Replacement Policy for STT-MRAM LLCs. 2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS). 2018, 第 3 作者http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000451218700088.
[93] 李丹丹, 姚淑珍, 王颖, 王森章, 谭火彬. 基于半监督集成学习的多核设计空间探索. 北京航空航天大学学报[J]. 2018, 第 3 作者44(4): 792-801, http://lib.cqvip.com/Qikan/Article/Detail?id=675312474.
[94] Xui Sheng, Wang Ying, Han Yinhe, Li Xiaowei, IEEE. PIMCH: Cooperative Memory Prefetching in Processing-In-Memory Architecture. 2018 23RD ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC). 2018, 第 2 作者209-214,
[95] Xu Dawen, Tu Kaijie, Wang Ying, Liu Cheng, He Bingsheng, Li Huawei, Assoc Comp Machinery. FCN-Engine: Accelerating Deconvolutional Layers in Classic CNN Processors. 2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERS. 2018, 第 3 作者
[96] Lian, ShiQi, Wang, Ying, Han, YinHe. DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks. JOURNALOFCOMPUTERSCIENCEANDTECHNOLOGY[J]. 2018, 第 2 作者 通讯作者 33(5): 984-997, http://lib.cqvip.com/Qikan/Article/Detail?id=676565052.
[97] Shi-Qi Lian, Ying Wang, Yin-He Han. DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks. 计算机科学技术学报:英文版[J]. 2018, 第 2 作者33(5): 984-997, http://lib.cqvip.com/Qikan/Article/Detail?id=676565052.
[98] Zou Kaiwei, Wang Ying, Li Huawei, Li Xiaowei, IEEE. XORiM: A Case of In-Memory Bit-Comparator Implementation and Its Performance Implications. 2018 23RD ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC). 2018, 第 2 作者349-354,
[99] Li, Dandan, Yao, Shuzhen, Wang, Ying. Processor Design Space Exploration via Statistical Sampling and Semi-Supervised Ensemble Learning. IEEE ACCESS[J]. 2018, 第 3 作者6: 25495-25505, https://doaj.org/article/f6a128f13ebd4bce8e204e01831c22d8.
[100] Wang, Ying, Li, Huawei, Li, Xiaowei. A Case of On-Chip Memory Subsystem Design for Low-Power CNN Accelerators. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2018, 第 1 作者37(10): 1971-1984, https://www.webofscience.com/wos/woscc/full-record/WOS:000445264200005.
[101] Wang Ying, Li Wen, Li Huawei, Li Xiaowei, IEEE. Lightweight Timing Channel Protection for Shared DRAM Controller. 2018 IEEE INTERNATIONAL TEST CONFERENCE (ITC). 2018, 第 1 作者
[102] Wang, Ying, Li, Huawei, Han, Yinhe, Li, Xiaowei. A Low Overhead In-Network Data Compressor for the Memory Hierarchy of Chip Multiprocessors. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2018, 第 1 作者 通讯作者 37(6): 1265-1277, http://dx.doi.org/10.1109/TCAD.2017.2729404.
[103] 程云, 李华伟, 王颖, 李晓维. 基于寄存器簇恢复的追踪信号选择方法. 计算机学报[J]. 2018, 第 3 作者41(10): 2318-2329, http://lib.cqvip.com/Qikan/Article/Detail?id=7000858637.
[104] Li, Xiaowei, Yan, Guihai, Ye, Jing, Wang, Ying. Fault tolerance on-chip: a reliable computing paradigm using self-test, self-diagnosis, and self-repair (3S) approach. SCIENCE CHINA-INFORMATION SCIENCES[J]. 2018, 第 4 作者61(11): http://lib.cqvip.com/Qikan/Article/Detail?id=6100051678.
[105] Shi, Cong, Li, Jiajun, Wang, Ying, Luo, Gang. Exploiting Lightweight Statistical Learning for Event-Based Vision Processing. IEEE ACCESS[J]. 2018, 第 3 作者 通讯作者 6: 19396-19406, https://doaj.org/article/5c6584ce90a8465db22b57429190df95.
[106] 王颖. Resilience-Aware Frequency Tuning for Neural-Network based Approximate Computing Chips. IEEE Transactions on Very Large Scaled Integration Systems. 2018, 第 1 作者
[107] 王颖. A Low Overhead In-Network Data Compressor for the Memory Hierarchicy of Chip Multi-Processors. IEEETRANSACTIONSONCOMPUTERAIDEDDESIGNOFINTEGRATEDCIRCUITSANDSYSTEMS. 2018, 第 1 作者
[108] Lian Shiqi, Han Yinhe, Chen Xiaoming, Wang Ying, Xiao Hang, IEEE. Dadu-P: A Scalable Accelerator for Robot Motion Planning in a Dynamic Environment. 2018 55TH ACM/ESDA/IEEE DESIGN AUTOMATION CONFERENCE (DAC). 2018, 第 4 作者http://dx.doi.org/10.1145/3195970.3196020.
[109] Wang, Ying, Quan, Zhenyu, Li, Jiajun, Han, Yinhe, Li, Huawei, Li, Xiaowei, IEEE. A Retrospective Evaluation of Energy-Efficient Object Detection Solutions on Embedded Devices. PROCEEDINGSOFTHE2018DESIGNAUTOMATIONTESTINEUROPECONFERENCEEXHIBITIONDATE. 2018, 第 1 作者709-714,
[110] Cheng, Yun, Li, Huawei, Wang, Ying, Shen, Haihua, Liu, Bo, Li, Xiaowei. On Trace Buffer Reuse-Based Trigger Generation in Post-Silicon Debug. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2018, 第 3 作者37(10): 2166-2179, http://dx.doi.org/10.1109/TCAD.2017.2778084.
[111] 王颖. A Case of On-chip memory Sub-system Design for Low-Power Machine Learning Accelerators. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2018, 第 1 作者
[112] Lian Shiqi, Wang Ying, Han Yinhe, Li Xiaowei, IEEE. BoDNoC: Providing Bandwidth-on-Demand Interconnection for Multi-Granularity Memory Systems. 2017 22ND ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC). 2017, 第 2 作者738-743,
[113] Cheng Long, Wang Ying, Pei Yulong, Epema Dick, IEEE. A Coflow-based Co-optimization Framework for High-performance Data Analytics. 2017 46TH INTERNATIONAL CONFERENCE ON PARALLEL PROCESSING (ICPP). 2017, 第 2 作者392-401,
[114] Hamdioui Said, Pouyan Peyman, Li Huawei, Wang Ying, Raychowdhur Arijit, Yoon Insik, IEEE. Test and Reliability of Emerging Non-Volatile Memories. 2017 IEEE 26TH ASIAN TEST SYMPOSIUM (ATS). 2017, 第 4 作者170-178,
[115] Wu Bi, Cheng Yuanqing, Dai Pengcheng, Yang Jianlei, Zhang Youguang, Liu Dijun, Wang Ying, Zhao Weisheng, IEEE. Thermosiphon: A Thermal Aweare NUCA Architecture for Write Energy Reduction of the STT-MRAM based LLCs. 2017 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD). 2017, 第 7 作者474-481,
[116] Song, Lili, Wang, Ying, Han, Yinhe, Li, Huawei, Cheng, Yuanqing, Li, Xiaowei. STT-RAM Buffer Design for Precision-Tunable General-Purpose Neural Network Accelerator. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2017, 第 2 作者 通讯作者 25(4): 1285-1296, https://www.webofscience.com/wos/woscc/full-record/WOS:000398858800009.
[117] Cheng, Yun, Li, Huawei, Wang, Ying, Gao, Yingke, Liu, Bo, Li, Xiaowei, IEEE. Flip-flop Clustering based Trace Signal Selection for Post-Silicon Debug. 2017 IEEE 35TH VLSI TEST SYMPOSIUM (VTS). 2017, 第 3 作者
[118] Wang, Ying, Deng, Jiachao, Fang, Yuntan, Li, Huawei, Li, Xiaowei. Resilience-Aware Frequency Tuning for Neural-Network-Based Approximate Computing Chips. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2017, 第 1 作者 通讯作者 25(10): 2736-2748, https://www.webofscience.com/wos/woscc/full-record/WOS:000413751500006.
[119] Li, Bing, Hu, Yu, Wang, Ying, Ye, Jing, Li, Xiaowei. Power-Utility-Driven Write Management for MLC PCM. ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS[J]. 2017, 第 3 作者13(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000401535800021.
[120] Xu, Dawen, Liao, Yi, Wang, Ying, Li, Huawei, Li, Xiaowei, ACM. Selective off-loading to Memory: Task Partitioning and Mapping for PIM-enabled Heterogeneous Systems. ACM INTERNATIONAL CONFERENCE ON COMPUTING FRONTIERS 2017. 2017, 第 3 作者255-258, http://dx.doi.org/10.1145/3075564.3075584.
[121] Wu Bi, Cheng Yuanqing, Dai Pengcheng, Yang Jianlei, Zhang Youguang, Liu Dijun, Wang Ying, Zhao Weisheng, IEEE. Thermosiphon: A Thermal Aware NUCA Architecture for Write Energy Reduction of the STT-MRAM based LLCs. 2017 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD). 2017, 第 7 作者474-481, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000492956200063.
[122] Li Dandan, Yao Shuzhen, Wang Senzhang, Wang Ying, IEEE. Cross-program Design Space Exploration by Ensemble Transfer Learning. 2017 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD). 2017, 第 4 作者201-208,
[123] Wang, Ying, Han, YinHe, Wang, Cheng, Li, Huawei, Li, Xiaowei. Retention-Aware DRAM Assembly and Repair for Future FGR Memories. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2017, 第 1 作者36(5): 705-718,
[124] Li Huawei. Approximate STT-RAM Buffer Design for General Purpose Neural Network Accelerator. IEEE Transactions on Very Large Scaled Integration Systems. 2017,
[125] Wang Ying, Li Huawei, Li Xiaowei, ACM. Re-architecting the On-chip memory Sub-system of Machine-Learning Accelerator for Embedded Devices. 2016 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD). 2016, 第 1 作者
[126] Wang Ying, Han Yinhe, Zhou Jun, Li Huawei, Li Xiaowei, ACM. DISCO: A Low Overhead In-Network Data Compressor for Energy-Efficient Chip Multi-Processors. 2016 ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC). 2016, 第 1 作者http://dx.doi.org/10.1145/2897937.2898007.
[127] Wang, Ying, Han, Yinhe, Li, Huawei, Zhang, Lei, Cheng, Yuanqing, Li, Xiaowei. PSI Conscious Write Scheduling: Architectural Support for Reliable Power Delivery in 3-D Die-Stacked PCM. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2016, 第 1 作者 通讯作者 24(5): 1613-1625, https://www.webofscience.com/wos/woscc/full-record/WOS:000375278300001.
[128] Wang, Ying, Han, Yinhe, Li, Huawei, Li, Xiaowei. VANUCA: Enabling Near-Threshold Voltage Operation in Large-Capacity Cache. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2016, 第 1 作者24(3): 858-870, https://www.webofscience.com/wos/woscc/full-record/WOS:000371932100005.
[129] Zhou Jun, Li Huawei, Wang Tiancheng, Li Sen, Wang Ying, Li Xiaowei, IEEE. TWiN: A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs. 2015 IEEE 24TH ASIAN TEST SYMPOSIUM (ATS). 2015, 第 5 作者85-90,
[130] Wang Ying, Han Yinhe, Zhang Lei, Li Huawei, Li Xiaowei, IEEE. ProPRAM: Exploiting the Transparent Logic Resources in Non-Volatile Memory for Near Data Computing. 2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC). 2015, 第 1 作者
[131] Lu, Hang, Fu, Binzhang, Wang, Ying, Han, Yinhe, Yan, Guihai, Li, Xiaowei. RISO: Enforce Noninterfered Performance With Relaxed Network-on-Chip Isolation in Many-Core Cloud Processors. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2015, 第 3 作者23(12): 3053-3064, https://www.webofscience.com/wos/woscc/full-record/WOS:000365206300027.
[132] Wang, Ying, Zhang, Lei, Han, YinHe, Li, HuaWei, Li, Xiaowei. Data Remapping for Static NUCA in Degradable Chip Multiprocessors. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[J]. 2015, 第 1 作者 通讯作者 23(5): 879-892, https://www.webofscience.com/wos/woscc/full-record/WOS:000355212000008.
[133] Deng Jiachao, Fang Yuntan, Du Zidong, Wang Ying, Li Huawei, Temam Olivier, Ienne Paolo, Novo David, Li Xiaowei, Chen Yunji, Wu Chengyong, IEEE. Retraining-Based Timing Error Mitigation for Hardware Neural Networks. 2015 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE). 2015, 第 4 作者593-596,
[134] Zhou Jun, Li Huawei, Wang Tiancheng, Wang Ying, Li Xiaowei, IEEE. TURO: A Lightweight TUrn-Guided ROuting Scheme for 3D NoCs. 2015 IEEE SYMPOSIUM ON LOW-POWER AND HIGH-SPEED CHIPS. 2015, 第 4 作者http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000380495000014.
[135] Liu, Bosheng l, Wang, Ying, You, Zhiqiang, Han, Yinhe, Li, Xiaowei. A signal degradation reduction method for memristor ratioed logic (MRL) gates. IEICE ELECTRONICS EXPRESS[J]. 2015, 第 2 作者12(8): https://www.webofscience.com/wos/woscc/full-record/WOS:000358123700002.
[136] Wang, Ying, Zhang, Lei, Han, YinHe, Li, HuaWei. Reinventing Memory System Design for Many-Accelerator Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2014, 第 1 作者29(2): 273-280, https://www.webofscience.com/wos/woscc/full-record/WOS:000333071200010.
[137] Han Yinhe, Wang Ying, Li Huawei, Li Xiaowei, IEEE. Enabling Near-Threshold Voltage(NTV) Operation in Multi-VDD Cache for Power Reduction. 2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS). 2013, 第 2 作者337-340,
[138] Wang Ying, Zhang Lei, Han Yinhe, Li Huawei, Li Xiaowei, IEEE. Flex Memory: Exploiting and Managing Abundant Off-Chip Optical Bandwidth. 2011 DESIGN, AUTOMATION & TEST IN EUROPE (DATE). 2011, 第 1 作者968-973,
[139] Dong Xicheng, Wang Ying, Liao Huaming, IEEE. Scheduling Mixed Real-time and Non-real-time Applications in MapReduce Environment. 2011 IEEE 17TH INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED SYSTEMS (ICPADS). 2011, 第 2 作者9-16,
[140] Wang, Ying, Bai, Shuo, Tan, Jianlong, Guo, Li, Feng, L, Wang, G, Zeng, C, Huang, R. Efficient filtering query indexing in data stream. WEB INFORMATION SYSTEMS - WISE 2006 WORKSHOPS, PROCEEDINGS[J]. 2006, 第 1 作者 通讯作者 4256: 1-12, http://www.corc.org.cn/handle/1471x/2378868.
科研活动
曾担任IEEE Coolchips,GLVLSI,ATS等国际会议program committee menber,ISCA,DAC,HPCA,IEEE Trans. on CAD, TC, TVLSI, VLSI integration, ACM TODAES, ACM JETC 等会议期刊审稿人
科研项目
( 2 ) CCF-Intel青年人才项目, 负责人, 其他国际合作项目, 2017-01--2018-01
( 3 ) 差错容忍计算器件基础理论与方法, 参与, 国家任务, 2018-01--2019-12
( 4 ) 面向大数据应用的近数据主动式存储器, 负责人, 研究所自主部署, 2018-01--2018-12
( 5 ) 专用处理器设计自动化, 负责人, 国家任务, 2023-01--2025-12
( 6 ) 芯粒存储架构与设计规范, 负责人, 国家任务, 2023-12--2027-11
参与会议
(2)CNN-based object detection solutions for embedded heterogeneous multi-core SoCs 2017-01-06
(3)Rearchitecting the on-chip Memory subsystem of machine learning accelerator for embedded devices 2016-12-08
合作情况
与来自 卡耐基梅隆大学Safari Group,Purdue大学,Harvard大学 薛西斯医学院,南洋理工大学,合肥工业大学的研究小组 保持长期合作关系